sbuild (Debian sbuild) 0.62.6 (07 Dec 2011) on build04.raspbian.lan ╔══════════════════════════════════════════════════════════════════════════════╗ ║ yorick-optimpack 1.3.2+dfsg-1 (armhf) 09 Jul 2012 14:00 ║ ╚══════════════════════════════════════════════════════════════════════════════╝ Package: yorick-optimpack Version: 1.3.2+dfsg-1 Source Version: 1.3.2+dfsg-1 Distribution: testing Architecture: armhf I: NOTICE: Log filtering will replace 'build/yorick-optimpack-RmEqMB/yorick-optimpack-1.3.2+dfsg' with '«PKGBUILDDIR»' I: NOTICE: Log filtering will replace 'build/yorick-optimpack-RmEqMB' with '«BUILDDIR»' I: NOTICE: Log filtering will replace 'var/lib/schroot/mount/testing-armhf-sbuild-73910b69-4090-4f7b-94b2-6705c6d23a70' with '«CHROOT»' ┌──────────────────────────────────────────────────────────────────────────────┐ │ Update chroot │ └──────────────────────────────────────────────────────────────────────────────┘ Hit http://repo.raspbian.lan testing InRelease Hit http://repo.raspbian.lan testing/main Sources Hit http://repo.raspbian.lan testing/main armhf Packages Ign http://repo.raspbian.lan testing/main Translation-en Reading package lists... ┌──────────────────────────────────────────────────────────────────────────────┐ │ Fetch source files │ └──────────────────────────────────────────────────────────────────────────────┘ Check APT ───────── Checking available source versions... Download source files with APT ────────────────────────────── Reading package lists... Building dependency tree... Reading state information... NOTICE: 'yorick-optimpack' packaging is maintained in the 'Git' version control system at: git://git.debian.org/git/debian-science/packages/yorick-optimpack.git Need to get 65.4 kB of source archives. Get:1 http://repo.raspbian.lan/raspbian/ testing/main yorick-optimpack 1.3.2+dfsg-1 (dsc) [1537 B] Get:2 http://repo.raspbian.lan/raspbian/ testing/main yorick-optimpack 1.3.2+dfsg-1 (tar) [60.0 kB] Get:3 http://repo.raspbian.lan/raspbian/ testing/main yorick-optimpack 1.3.2+dfsg-1 (diff) [3921 B] Fetched 65.4 kB in 0s (1659 kB/s) Download complete and in download only mode Check arch ────────── Merged Build-Depends: build-essential, fakeroot Filtered Build-Depends: build-essential, fakeroot dpkg-deb: building package `sbuild-build-depends-core-dummy' in `/«BUILDDIR»/resolver-DgiwNq/apt_archive/sbuild-build-depends-core-dummy.deb'. OK Reading package lists... ┌──────────────────────────────────────────────────────────────────────────────┐ │ Install core build dependencies (apt-based resolver) │ └──────────────────────────────────────────────────────────────────────────────┘ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following NEW packages will be installed: sbuild-build-depends-core-dummy debconf: delaying package configuration, since apt-utils is not installed 0 upgraded, 1 newly installed, 0 to remove and 3 not upgraded. Need to get 0 B/708 B of archives. After this operation, 0 B of additional disk space will be used. Selecting previously unselected package sbuild-build-depends-core-dummy. (Reading database ... 10640 files and directories currently installed.) Unpacking sbuild-build-depends-core-dummy (from .../sbuild-build-depends-core-dummy.deb) ... Setting up sbuild-build-depends-core-dummy (0.invalid.0) ... Merged Build-Depends: libc6-dev | libc-dev, gcc (>= 4:4.4.3), g++ (>= 4:4.4.3), make, dpkg-dev (>= 1.13.5), debhelper (>= 7.0.50~), yorick-dev (>= 2.1.05+dfsg-2~bpo40+1) Filtered Build-Depends: libc6-dev, gcc (>= 4:4.4.3), g++ (>= 4:4.4.3), make, dpkg-dev (>= 1.13.5), debhelper (>= 7.0.50~), yorick-dev (>= 2.1.05+dfsg-2~bpo40+1) dpkg-deb: building package `sbuild-build-depends-yorick-optimpack-dummy' in `/«BUILDDIR»/resolver-WlB1sK/apt_archive/sbuild-build-depends-yorick-optimpack-dummy.deb'. OK Reading package lists... ┌──────────────────────────────────────────────────────────────────────────────┐ │ Install yorick-optimpack build dependencies (apt-based resolver) │ └──────────────────────────────────────────────────────────────────────────────┘ Installing build dependencies Reading package lists... Building dependency tree... Reading state information... The following extra packages will be installed: bsdmainutils debhelper file gettext gettext-base groff-base html2text intltool-debian libasprintf0c2 libcroco3 libffi5 libgettextpo0 libglib2.0-0 libmagic1 libpcre3 libpipeline1 libunistring0 libx11-6 libx11-data libxau6 libxcb1 libxdmcp6 libxml2 man-db po-debconf yorick yorick-data yorick-dev Suggested packages: wamerican wordlist whois vacation dh-make gettext-doc groff less www-browser libmail-box-perl yorick-av yorick-cubeview yorick-curses yorick-dbg yorick-doc yorick-gl yorick-hdf5 yorick-imutil yorick-mira yorick-ml4 yorick-mpeg yorick-mpy-mpich2 yorick-mpy-openmpi yorick-optimpack yorick-soy yorick-spydr yorick-yao yorick-yeti yorick-yeti-fftw yorick-yeti-gsl yorick-yeti-regex yorick-yeti-tiff yorick-yutils emacsen Recommended packages: curl wget lynx-cur autopoint libglib2.0-data shared-mime-info xml-core libmail-sendmail-perl rlwrap yorick-z The following NEW packages will be installed: bsdmainutils debhelper file gettext gettext-base groff-base html2text intltool-debian libasprintf0c2 libcroco3 libffi5 libgettextpo0 libglib2.0-0 libmagic1 libpcre3 libpipeline1 libunistring0 libx11-6 libx11-data libxau6 libxcb1 libxdmcp6 libxml2 man-db po-debconf sbuild-build-depends-yorick-optimpack-dummy yorick yorick-data yorick-dev debconf: delaying package configuration, since apt-utils is not installed 0 upgraded, 29 newly installed, 0 to remove and 3 not upgraded. Need to get 0 B/12.6 MB of archives. After this operation, 32.9 MB of additional disk space will be used. Selecting previously unselected package libpcre3:armhf. (Reading database ... 10640 files and directories currently installed.) Unpacking libpcre3:armhf (from .../libpcre3_1%3a8.30-5_armhf.deb) ... Selecting previously unselected package libpipeline1:armhf. Unpacking libpipeline1:armhf (from .../libpipeline1_1.2.1-1_armhf.deb) ... Selecting previously unselected package libasprintf0c2:armhf. Unpacking libasprintf0c2:armhf (from .../libasprintf0c2_0.18.1.1-9_armhf.deb) ... Selecting previously unselected package libmagic1:armhf. Unpacking libmagic1:armhf (from .../libmagic1_5.11-1_armhf.deb) ... Selecting previously unselected package libxml2:armhf. Unpacking libxml2:armhf (from .../libxml2_2.8.0+dfsg1-4_armhf.deb) ... Selecting previously unselected package libffi5:armhf. Unpacking libffi5:armhf (from .../libffi5_3.0.10-3+b3_armhf.deb) ... Selecting previously unselected package libglib2.0-0:armhf. Unpacking libglib2.0-0:armhf (from .../libglib2.0-0_2.32.3-1_armhf.deb) ... Selecting previously unselected package libcroco3:armhf. Unpacking libcroco3:armhf (from .../libcroco3_0.6.5-1_armhf.deb) ... Selecting previously unselected package libunistring0:armhf. Unpacking libunistring0:armhf (from .../libunistring0_0.9.3-5_armhf.deb) ... Selecting previously unselected package libgettextpo0:armhf. Unpacking libgettextpo0:armhf (from .../libgettextpo0_0.18.1.1-9_armhf.deb) ... Selecting previously unselected package libxau6:armhf. Unpacking libxau6:armhf (from .../libxau6_1%3a1.0.7-1_armhf.deb) ... Selecting previously unselected package libxdmcp6:armhf. Unpacking libxdmcp6:armhf (from .../libxdmcp6_1%3a1.1.1-1_armhf.deb) ... Selecting previously unselected package libxcb1:armhf. Unpacking libxcb1:armhf (from .../libxcb1_1.8.1-1_armhf.deb) ... Selecting previously unselected package libx11-data. Unpacking libx11-data (from .../libx11-data_2%3a1.5.0-1_all.deb) ... Selecting previously unselected package libx11-6:armhf. Unpacking libx11-6:armhf (from .../libx11-6_2%3a1.5.0-1_armhf.deb) ... Selecting previously unselected package bsdmainutils. Unpacking bsdmainutils (from .../bsdmainutils_9.0.3_armhf.deb) ... Selecting previously unselected package groff-base. Unpacking groff-base (from .../groff-base_1.21-9_armhf.deb) ... Selecting previously unselected package man-db. Unpacking man-db (from .../man-db_2.6.2-1_armhf.deb) ... Selecting previously unselected package file. Unpacking file (from .../archives/file_5.11-1_armhf.deb) ... Selecting previously unselected package gettext-base. Unpacking gettext-base (from .../gettext-base_0.18.1.1-9_armhf.deb) ... Selecting previously unselected package html2text. Unpacking html2text (from .../html2text_1.3.2a-15_armhf.deb) ... Selecting previously unselected package gettext. Unpacking gettext (from .../gettext_0.18.1.1-9_armhf.deb) ... Selecting previously unselected package intltool-debian. Unpacking intltool-debian (from .../intltool-debian_0.35.0+20060710.1_all.deb) ... Selecting previously unselected package po-debconf. Unpacking po-debconf (from .../po-debconf_1.0.16+nmu2_all.deb) ... Selecting previously unselected package debhelper. Unpacking debhelper (from .../debhelper_9.20120608_all.deb) ... Selecting previously unselected package yorick-data. Unpacking yorick-data (from .../yorick-data_2.2.02+dfsg-1_all.deb) ... Selecting previously unselected package yorick. Unpacking yorick (from .../yorick_2.2.02+dfsg-1_armhf.deb) ... Selecting previously unselected package yorick-dev. Unpacking yorick-dev (from .../yorick-dev_2.2.02+dfsg-1_armhf.deb) ... Selecting previously unselected package sbuild-build-depends-yorick-optimpack-dummy. Unpacking sbuild-build-depends-yorick-optimpack-dummy (from .../sbuild-build-depends-yorick-optimpack-dummy.deb) ... Setting up libpcre3:armhf (1:8.30-5) ... Setting up libpipeline1:armhf (1.2.1-1) ... Setting up libasprintf0c2:armhf (0.18.1.1-9) ... Setting up libmagic1:armhf (5.11-1) ... Setting up libxml2:armhf (2.8.0+dfsg1-4) ... Setting up libffi5:armhf (3.0.10-3+b3) ... Setting up libglib2.0-0:armhf (2.32.3-1) ... No schema files found: doing nothing. Setting up libcroco3:armhf (0.6.5-1) ... Setting up libunistring0:armhf (0.9.3-5) ... Setting up libgettextpo0:armhf (0.18.1.1-9) ... Setting up libxau6:armhf (1:1.0.7-1) ... Setting up libxdmcp6:armhf (1:1.1.1-1) ... Setting up libxcb1:armhf (1.8.1-1) ... Setting up libx11-data (2:1.5.0-1) ... Setting up libx11-6:armhf (2:1.5.0-1) ... Setting up bsdmainutils (9.0.3) ... update-alternatives: using /usr/bin/bsd-write to provide /usr/bin/write (write) in auto mode. update-alternatives: using /usr/bin/bsd-from to provide /usr/bin/from (from) in auto mode. Setting up groff-base (1.21-9) ... Setting up man-db (2.6.2-1) ... Building database of manual pages ... Setting up file (5.11-1) ... Setting up gettext-base (0.18.1.1-9) ... Setting up html2text (1.3.2a-15) ... Setting up gettext (0.18.1.1-9) ... Setting up intltool-debian (0.35.0+20060710.1) ... Setting up po-debconf (1.0.16+nmu2) ... Setting up debhelper (9.20120608) ... Setting up yorick-data (2.2.02+dfsg-1) ... Setting up yorick (2.2.02+dfsg-1) ... Setting up yorick-dev (2.2.02+dfsg-1) ... Setting up sbuild-build-depends-yorick-optimpack-dummy (0.invalid.0) ... ┌──────────────────────────────────────────────────────────────────────────────┐ │ Build environment │ └──────────────────────────────────────────────────────────────────────────────┘ Kernel: Linux 3.2.0-2-mx5 armhf (armv7l) Toolchain package versions: binutils_2.22-6.1 dpkg-dev_1.16.4.3 g++-4.6_4.6.3-8+rpi1 gcc-4.6_4.6.3-8+rpi1 libc6-dev_2.13-33 libstdc++6_4.7.1-2+rpi1 libstdc++6-4.6-dev_4.6.3-8+rpi1 linux-libc-dev_3.2.21-1+rpi1 Package versions: apt_0.9.3+rpi1 base-files_6.9 base-passwd_3.5.26 bash_4.2-2 binutils_2.22-6.1 bsdmainutils_9.0.3 bsdutils_1:2.20.1-5.1 build-essential_11.5+b1 bzip2_1.0.6-3 coreutils_8.13-3.2 cpp_4:4.6.3-7 cpp-4.6_4.6.3-8+rpi1 dash_0.5.7-3 debconf_1.5.44 debfoster_2.7-1.1 debhelper_9.20120608 debian-archive-keyring_2012.3 debianutils_4.3.1 diffutils_1:3.2-6 dpkg_1.16.4.3 dpkg-dev_1.16.4.3 e2fslibs_1.42.4-3 e2fsprogs_1.42.4-3 fakeroot_1.18.4-2 file_5.11-1 findutils_4.4.2-4 g++_4:4.6.3-6 g++-4.6_4.6.3-8+rpi1 gcc_4:4.6.3-7 gcc-4.4-base_4.4.7-1.1+rpi1 gcc-4.5-base_4.5.3-12+rpi1 gcc-4.6_4.6.3-8+rpi1 gcc-4.6-base_4.6.3-8+rpi1 gcc-4.7-base_4.7.1-2+rpi1 gettext_0.18.1.1-9 gettext-base_0.18.1.1-9 gnupg_1.4.12-4 gpgv_1.4.12-4 grep_2.12-2 groff-base_1.21-9 gzip_1.5-1.1 hostname_3.11 html2text_1.3.2a-15 initscripts_2.88dsf-22.1 insserv_1.14.0-3 intltool-debian_0.35.0+20060710.1 libacl1_2.2.51-8 libapt-pkg4.12_0.9.3+rpi1 libasprintf0c2_0.18.1.1-9 libattr1_1:2.4.46-8 libblkid1_2.20.1-5.1 libbz2-1.0_1.0.6-3 libc-bin_2.13-33 libc-dev-bin_2.13-33 libc6_2.13-33 libc6-dev_2.13-33 libclass-isa-perl_0.36-3 libcomerr2_1.42.4-3 libcroco3_0.6.5-1 libdb5.1_5.1.29-4 libdpkg-perl_1.16.4.3 libffi5_3.0.10-3+b3 libfile-fcntllock-perl_0.14-2 libgc1c2_1:7.1-8 libgcc1_1:4.7.1-2+rpi1 libgdbm3_1.8.3-11 libgettextpo0_0.18.1.1-9 libglib2.0-0_2.32.3-1 libgmp10_2:5.0.5+dfsg-2 libgomp1_4.7.1-2+rpi1 liblocale-gettext-perl_1.05-7 liblzma5_5.1.1alpha+20120614-1 libmagic1_5.11-1 libmount1_2.20.1-5.1 libmpc2_0.9-4 libmpfr4_3.1.0-5 libncurses5_5.9-10 libncursesw5_5.9-10 libpam-modules_1.1.3-7.1 libpam-modules-bin_1.1.3-7.1 libpam-runtime_1.1.3-7.1 libpam0g_1.1.3-7.1 libpcre3_1:8.30-5 libpipeline1_1.2.1-1 libreadline6_6.2-8 libselinux1_2.1.9-5 libsemanage-common_2.1.6-6 libsemanage1_2.1.6-6 libsepol1_2.1.4-3 libslang2_2.2.4-10 libss2_1.42.4-3 libstdc++6_4.7.1-2+rpi1 libstdc++6-4.6-dev_4.6.3-8+rpi1 libswitch-perl_2.16-2 libtext-charwidth-perl_0.04-7 libtext-iconv-perl_1.7-5 libtext-wrapi18n-perl_0.06-7 libtimedate-perl_1.2000-1 libtinfo5_5.9-10 libunistring0_0.9.3-5 libusb-0.1-4_2:0.1.12-20 libustr-1.0-1_1.0.4-3 libuuid1_2.20.1-5.1 libx11-6_2:1.5.0-1 libx11-data_2:1.5.0-1 libxau6_1:1.0.7-1 libxcb1_1.8.1-1 libxdmcp6_1:1.1.1-1 libxml2_2.8.0+dfsg1-4 linux-libc-dev_3.2.21-1+rpi1 login_1:4.1.5.1-1 lsb-base_4.1+Debian7 make_3.81-8.2 man-db_2.6.2-1 mawk_1.3.3-17 mount_2.20.1-5.1 multiarch-support_2.13-33 ncurses-base_5.9-9 ncurses-bin_5.9-10 passwd_1:4.1.5.1-1 patch_2.6.1-3 perl_5.14.2-12+rpi1 perl-base_5.14.2-12+rpi1 perl-modules_5.14.2-12+rpi1 po-debconf_1.0.16+nmu2 raspbian-archive-keyring_20120528.2 readline-common_6.2-8 sbuild-build-depends-core-dummy_0.invalid.0 sbuild-build-depends-yorick-optimpack-dummy_0.invalid.0 sed_4.2.1-10 sensible-utils_0.0.7 sysv-rc_2.88dsf-22.1 sysvinit_2.88dsf-22.1 sysvinit-utils_2.88dsf-22.1 tar_1.26-4 tzdata_2012c-1 ucf_3.0025+nmu3 util-linux_2.20.1-5.1 xz-utils_5.1.1alpha+20120614-1 yorick_2.2.02+dfsg-1 yorick-data_2.2.02+dfsg-1 yorick-dev_2.2.02+dfsg-1 zlib1g_1:1.2.7.dfsg-13 ┌──────────────────────────────────────────────────────────────────────────────┐ │ Build │ └──────────────────────────────────────────────────────────────────────────────┘ Unpack source ───────────── gpgv: keyblock resource `/sbuild-nonexistent/.gnupg/trustedkeys.gpg': file open error gpgv: Signature made Thu Jun 28 12:14:08 2012 UTC using DSA key ID 4B9488F1 gpgv: Can't check signature: public key not found dpkg-source: warning: failed to verify signature on ./yorick-optimpack_1.3.2+dfsg-1.dsc dpkg-source: info: extracting yorick-optimpack in yorick-optimpack-1.3.2+dfsg dpkg-source: info: unpacking yorick-optimpack_1.3.2+dfsg.orig.tar.xz dpkg-source: info: unpacking yorick-optimpack_1.3.2+dfsg-1.debian.tar.gz dpkg-source: info: applying configure dpkg-source: info: applying makefile-configure Check disc space ──────────────── Sufficient free space for build User Environment ──────────────── HOME=/sbuild-nonexistent LOGNAME=buildd PATH=/usr/sbin:/usr/bin:/sbin:/bin:/usr/X11R6/bin:/usr/games SCHROOT_CHROOT_NAME=testing-armhf-sbuild SCHROOT_COMMAND=env SCHROOT_GID=108 SCHROOT_GROUP=buildd SCHROOT_SESSION_ID=testing-armhf-sbuild-73910b69-4090-4f7b-94b2-6705c6d23a70 SCHROOT_UID=106 SCHROOT_USER=buildd SHELL=/bin/sh TERM=xterm USER=buildd dpkg-buildpackage ───────────────── dpkg-buildpackage: source package yorick-optimpack dpkg-buildpackage: source version 1.3.2+dfsg-1 dpkg-source --before-build yorick-optimpack-1.3.2+dfsg dpkg-buildpackage: host architecture armhf fakeroot debian/rules clean dh clean dh_testdir debian/rules override_dh_auto_clean make[1]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' /usr/bin/make Y_MAKEDIR=/usr/lib/yorick Y_EXE=/usr/bin/yorick clean make[2]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' rm -f *~ op_lnsrch.o op_utils.o op_vmlmb.o liboptimpack.a for dir in yorick idl; do \ if [ -f "$dir/Makefile" ]; then \ (cd $dir; make clean); \ fi; \ done make[3]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg/yorick' rm -f *~ '#'* core* *.core a.out yinit.* ywrap.* *.dep so_locations Make.tmp rm -f Y_HOME.txt rm -f op_lnsrch.o op_utils.o op_vmlmb.o yorick libOptimPack1.a OptimPack1.so OptimPack1.def make[3]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg/yorick' make[2]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' rm -f yorick/OptimPack1-test.out make[1]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' debian/rules override_dh_clean make[1]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' dh_clean --exclude=yorick/OptimPack1-test.out.orig make[1]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' debian/rules build-arch dh build-arch dh_testdir -a dh_auto_configure -a debian/rules override_dh_auto_build make[1]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' /usr/bin/make CPPFLAGS="-D_FORTIFY_SOURCE=2" CFLAGS="-g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security" make[2]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' gcc -pipe -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -c op_lnsrch.c -o op_lnsrch.o gcc -pipe -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -c op_utils.c -o op_utils.o gcc -pipe -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -c op_vmlmb.c -o op_vmlmb.o rm -f liboptimpack.a ar rv liboptimpack.a op_lnsrch.o op_utils.o op_vmlmb.o ar: creating liboptimpack.a a - op_lnsrch.o a - op_utils.o a - op_vmlmb.o make[2]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' cd yorick; \ /usr/bin/make COPT_DEFAULT="" \ Y_CFLAGS="-g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2" \ Y_LDFLAGS="-Wl,-z,relro" make[2]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg/yorick' cc -D_FORTIFY_SOURCE=2 -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -DOP_INTEGER=long -fPIC -DPLUG_IN -I. -I/usr/lib/yorick/include -o op_lnsrch.o -c ../op_lnsrch.c cc -D_FORTIFY_SOURCE=2 -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -DOP_INTEGER=long -fPIC -DPLUG_IN -I. -I/usr/lib/yorick/include -o op_utils.o -c ../op_utils.c cc -D_FORTIFY_SOURCE=2 -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -DOP_INTEGER=long -fPIC -DPLUG_IN -I. -I/usr/lib/yorick/include -o op_vmlmb.o -c ../op_vmlmb.c /usr/lib/yorick/lib/codger w OptimPack1 OptimPack1.i found OptimPack1.i in current directory cc -g -O2 -fstack-protector --param=ssp-buffer-size=4 -Wformat -Werror=format-security -D_FORTIFY_SOURCE=2 -DOP_INTEGER=long -fPIC -DPLUG_IN -I. -I/usr/lib/yorick/include -D_FORTIFY_SOURCE=2 -c -o ywrap.o ywrap.c cc -Wl,-z,relro -fPIC -shared -o OptimPack1.so op_lnsrch.o op_utils.o op_vmlmb.o ywrap.o -lm make[2]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg/yorick' make[1]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' debian/rules override_dh_auto_test make[1]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' cd yorick; yorick -batch ../debian/check.i # # Problem 1 (N=3): Helical valley function. # Method 0 (NDIR=3): Limited Memory BFGS (VMLM with NDIR=3) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +2.500000000000000e+03 1.9e+03 0.0e+00 1 5 0.000 +1.269329774707323e+03 1.2e+03 8.5e+01 2 6 0.000 +2.147502259591649e+02 3.5e+02 1.0e+00 3 7 0.000 +9.415657884413369e+01 2.1e+02 1.0e+00 4 8 0.000 +3.417838488491555e+01 1.1e+02 1.0e+00 5 9 0.000 +1.696294069080706e+01 7.3e+01 1.0e+00 6 10 0.000 +6.292515683958654e+00 2.1e+01 1.0e+00 7 11 0.000 +5.951747922822301e+00 5.5e+00 1.0e+00 8 12 10.000 +5.911680858700818e+00 4.4e+00 1.0e+00 9 13 10.000 +5.595732709594651e+00 1.0e+01 1.0e+00 10 14 10.000 +4.957052096630772e+00 2.0e+01 1.0e+00 11 16 10.000 +3.637332610878445e+00 3.1e+01 4.0e-01 12 18 10.000 +3.083382222226404e+00 3.3e+01 1.9e-01 13 19 10.000 +1.938110324804317e+00 1.5e+01 1.0e+00 14 20 10.000 +1.785300345853419e+00 1.2e+01 1.0e+00 15 21 10.000 +1.459462920529526e+00 9.0e+00 1.0e+00 16 22 10.000 +1.026170711280852e+00 1.4e+01 1.0e+00 17 24 10.000 +5.455530350709484e-01 1.2e+01 4.3e-01 18 25 10.000 +1.909911415266392e-01 7.6e+00 1.0e+00 19 26 10.000 +1.244852784517738e-01 5.2e+00 1.0e+00 20 27 10.000 +4.266799374222480e-02 1.7e+00 1.0e+00 21 28 10.000 +7.563421302820158e-03 7.1e-01 1.0e+00 22 29 10.000 +8.447323970977335e-04 9.3e-01 1.0e+00 23 31 10.000 +1.844209994311922e-05 9.9e-02 1.7e-01 24 32 10.000 +9.722884621192183e-07 2.3e-02 1.0e+00 25 33 10.000 +3.085140306477255e-11 5.8e-05 1.0e+00 26 34 10.000 +7.301207331983849e-12 2.6e-05 1.0e+00 27 35 10.000 +4.773488671811732e-12 3.9e-05 1.0e+00 28 36 10.000 +1.041441978075987e-12 2.6e-05 1.0e+00 29 37 10.000 +2.231364296824614e-13 1.7e-05 1.0e+00 30 38 10.000 +1.958100459941276e-14 2.8e-06 1.0e+00 31 39 10.000 +9.021819436406954e-15 1.7e-06 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 2 (N=6): Biggs exp6 function. # Method 0 (NDIR=6): Limited Memory BFGS (VMLM with NDIR=6) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 10.000 +7.790700756559702e-01 2.6e+00 0.0e+00 1 2 10.000 +7.109930889216748e-01 2.0e+00 1.0e+00 2 3 10.000 +5.366787648249474e-01 1.1e+00 1.0e+00 3 4 10.000 +4.530579053456422e-01 1.2e+00 1.0e+00 4 5 10.000 +3.176962812719089e-01 7.0e-01 1.0e+00 5 6 10.000 +2.938824764955270e-01 1.1e-01 1.0e+00 6 7 10.000 +2.923358556087656e-01 3.5e-02 1.0e+00 7 8 10.000 +2.920888915685383e-01 3.9e-02 1.0e+00 8 9 10.000 +2.908542752463548e-01 6.2e-02 1.0e+00 9 10 20.000 +2.862317569406775e-01 1.5e-01 1.0e+00 10 11 20.000 +2.738630657684664e-01 3.0e-01 1.0e+00 11 12 20.000 +2.591923414289821e-01 5.2e-01 1.0e+00 12 13 20.000 +2.262283498548269e-01 4.5e-01 1.0e+00 13 14 20.000 +1.407717348742100e-01 1.0e+00 1.0e+00 14 15 20.000 +6.533853133039109e-02 1.4e-01 1.0e+00 15 16 20.000 +4.662585889610909e-02 1.2e-01 1.0e+00 16 17 20.000 +4.174454206061848e-02 9.2e-02 1.0e+00 17 18 20.000 +4.008707888779775e-02 5.7e-02 1.0e+00 18 19 30.000 +3.932182241701262e-02 1.4e-02 1.0e+00 19 20 30.000 +3.914516659749032e-02 1.7e-02 1.0e+00 20 21 30.000 +3.879867065847156e-02 2.6e-02 1.0e+00 21 22 30.000 +3.750197544702014e-02 6.0e-02 1.0e+00 22 23 30.000 +3.497817534300224e-02 1.6e-01 1.0e+00 23 24 30.000 +3.149039168311021e-02 2.6e-01 1.0e+00 24 25 30.000 +2.369871000889928e-02 2.3e-01 1.0e+00 25 26 30.000 +1.489837363289996e-02 1.2e-01 1.0e+00 26 28 40.000 +1.045581776209404e-02 2.1e-01 4.2e-01 27 30 40.000 +6.155537002670576e-03 9.5e-03 2.6e-01 28 31 40.000 +5.763418703521079e-03 3.9e-02 1.0e+00 29 32 40.000 +5.662764046262703e-03 4.5e-03 1.0e+00 30 33 40.000 +5.657376703461359e-03 3.1e-04 1.0e+00 31 34 40.000 +5.657291861082274e-03 1.7e-04 1.0e+00 32 35 40.000 +5.657141241887881e-03 8.9e-04 1.0e+00 33 36 40.000 +5.656679734447376e-03 1.8e-03 1.0e+00 34 37 50.000 +5.656005380567798e-03 2.1e-03 1.0e+00 35 39 50.000 +5.655965437448394e-03 1.7e-03 1.3e-01 36 40 50.000 +5.655705068662749e-03 8.8e-04 1.0e+00 37 41 50.000 +5.655650542761979e-03 4.5e-05 1.0e+00 38 42 50.000 +5.655650090960373e-03 1.7e-05 1.0e+00 39 43 50.000 +5.655650014191290e-03 2.0e-05 1.0e+00 40 45 50.000 +5.655649966932044e-03 1.3e-05 4.7e-01 41 47 60.000 +5.655649926453217e-03 3.8e-06 5.2e-01 42 48 60.000 +5.655649925553855e-03 1.0e-06 1.0e+00 43 49 60.000 +5.655649925502118e-03 1.2e-07 1.0e+00 # op_vmlmb_next: FRTOL test satisfied # # # Problem 3 (N=3): Gaussian function. # Method 0 (NDIR=3): Limited Memory BFGS (VMLM with NDIR=3) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +3.888106991166885e-06 7.5e-03 0.0e+00 1 3 0.000 +1.178679849769735e-08 1.2e-05 9.7e-02 2 6 0.000 +1.145632065403360e-08 7.0e-06 2.1e+01 3 7 0.000 +1.127932769924550e-08 2.1e-09 1.0e+00 4 8 0.000 +1.127932769618313e-08 1.1e-13 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 4 (N=2): Powell badly scaled function. # Method 0 (NDIR=2): Limited Memory BFGS (VMLM with NDIR=2) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.135261717348378e+00 2.0e+04 0.0e+00 1 3 0.000 +1.351881734193076e-01 2.7e-01 1.0e-02 2 9 0.000 +1.351877079082597e-01 3.7e+00 1.4e+03 3 10 0.000 +1.351826334979774e-01 2.7e+01 1.0e+00 4 11 0.000 +1.351715372672088e-01 5.9e+01 1.0e+00 5 12 0.000 +1.351317668828299e-01 1.1e+02 1.0e+00 6 13 0.000 +1.349643176223551e-01 2.3e+02 1.0e+00 7 14 0.000 +1.343167647985171e-01 4.6e+02 1.0e+00 8 15 0.000 +1.317456501912927e-01 9.1e+02 1.0e+00 9 16 0.000 +1.218088412128874e-01 1.7e+03 1.0e+00 10 17 0.000 +7.552144718949599e-02 1.8e+03 1.0e+00 11 20 0.000 +6.480980277164852e-02 4.6e+02 1.8e-02 12 22 0.000 +4.242027050909464e-02 2.3e+03 4.1e-01 13 23 0.000 +2.529487053406030e-02 9.2e+02 1.0e+00 14 24 0.000 +1.551664267230755e-02 1.9e+03 1.0e+00 15 26 0.000 +1.076361392584863e-02 9.9e+02 2.5e-01 16 27 10.000 +6.085385662979327e-03 8.7e+02 1.0e+00 17 28 10.000 +4.609100904608958e-03 1.7e+03 1.0e+00 18 29 10.000 +2.420040806618658e-03 1.7e+01 1.0e+00 19 30 10.000 +1.594293150448172e-03 9.3e+02 1.0e+00 20 31 10.000 +1.120686185379453e-03 6.3e+02 1.0e+00 21 32 10.000 +6.968696138974039e-04 1.2e+02 1.0e+00 22 34 10.000 +5.866769752101261e-04 5.8e+02 3.5e-01 23 35 10.000 +4.214097980998648e-04 5.0e+02 1.0e+00 24 36 10.000 +3.395304126822301e-04 6.1e+02 1.0e+00 25 37 10.000 +1.907048635560837e-04 4.0e+02 1.0e+00 26 38 10.000 +1.669151022639431e-04 1.8e+02 1.0e+00 27 39 10.000 +1.106642178465231e-04 1.9e+02 1.0e+00 28 41 10.000 +1.020367925901458e-04 7.8e+01 1.1e-01 29 42 10.000 +6.996358266654501e-05 3.1e+02 1.0e+00 30 43 10.000 +5.849072127278503e-05 1.1e+02 1.0e+00 31 44 10.000 +4.122748619472730e-05 2.3e+02 1.0e+00 32 45 10.000 +3.244703225876052e-05 9.4e+01 1.0e+00 33 46 10.000 +2.758756025272084e-05 2.9e+02 1.0e+00 34 47 10.000 +2.122198205993526e-05 2.5e+01 1.0e+00 35 48 10.000 +1.752106213100264e-05 2.8e+01 1.0e+00 36 50 10.000 +1.474644144511043e-05 1.0e+02 3.7e-01 37 51 10.000 +1.110423045555752e-05 1.9e+02 1.0e+00 38 53 10.000 +8.191029796050811e-06 5.5e+00 5.1e-01 39 54 10.000 +6.847064824181314e-06 2.6e+01 1.0e+00 40 56 10.000 +6.154701462210987e-06 6.9e+01 2.7e-01 41 57 10.000 +5.032609458243933e-06 9.4e+01 1.0e+00 42 58 10.000 +4.535905885915826e-06 1.1e+02 1.0e+00 43 60 10.000 +3.368336070068508e-06 5.2e+01 3.4e-01 44 63 10.000 +2.838045470220077e-06 5.2e+00 6.4e-02 45 65 10.000 +2.746513120727700e-06 3.3e+01 4.7e-01 46 66 10.000 +2.618477167060228e-06 4.1e+01 1.0e+00 47 67 10.000 +2.197043975650110e-06 6.2e+01 1.0e+00 48 69 10.000 +1.786059173664993e-06 2.4e+01 3.3e-01 49 71 10.000 +1.586279431591711e-06 4.0e+00 3.0e-01 50 73 10.000 +1.437777630389302e-06 3.3e+01 1.5e-01 51 74 10.000 +1.370385098652974e-06 3.5e+01 1.0e+00 52 75 10.000 +1.068943751039307e-06 1.5e+01 1.0e+00 53 77 10.000 +1.005722213223410e-06 3.4e+01 5.2e-01 54 78 10.000 +8.341414149912821e-07 3.0e+01 1.0e+00 55 79 10.000 +7.761304030780881e-07 3.1e+01 1.0e+00 56 81 20.000 +6.433868291987332e-07 5.6e+00 4.9e-01 57 83 20.000 +5.993231861832589e-07 2.2e+01 1.3e-01 58 84 20.000 +5.843138376700888e-07 1.7e+01 1.0e+00 59 85 20.000 +5.001597909720191e-07 3.2e+01 1.0e+00 60 86 20.000 +4.391571484552324e-07 1.2e+00 1.0e+00 61 87 20.000 +3.818780384406785e-07 1.7e+01 1.0e+00 62 88 20.000 +3.588542206102564e-07 2.7e+01 1.0e+00 63 89 20.000 +2.918267434697835e-07 1.3e+00 1.0e+00 64 91 20.000 +2.692030968626657e-07 1.3e+01 4.8e-01 65 92 20.000 +2.543383772358229e-07 2.1e+01 1.0e+00 66 93 20.000 +2.166202661481718e-07 7.0e+00 1.0e+00 67 95 20.000 +2.024844956599708e-07 1.2e+01 4.8e-01 68 96 20.000 +1.818223854369902e-07 1.9e+01 1.0e+00 69 97 30.000 +1.579720668145610e-07 1.8e+01 1.0e+00 70 99 30.000 +1.275010677000574e-07 2.5e+00 2.9e-01 71 101 30.000 +1.215122508671124e-07 7.2e+00 1.2e-01 72 102 30.000 +1.194869810010962e-07 8.5e+00 1.0e+00 73 103 30.000 +1.088843746167357e-07 1.5e+01 1.0e+00 74 104 30.000 +8.966558539536893e-08 8.4e+00 1.0e+00 75 106 30.000 +7.889045259773042e-08 1.0e+00 2.2e-01 76 108 30.000 +7.640147732369213e-08 6.0e+00 1.9e-01 77 109 30.000 +7.419193572730120e-08 8.5e+00 1.0e+00 78 110 30.000 +6.721957905964160e-08 8.8e+00 1.0e+00 79 112 30.000 +6.384239708288827e-08 4.2e-01 7.2e-03 80 113 30.000 +5.687273024611165e-08 5.7e+00 1.0e+00 81 115 30.000 +5.502391197270965e-08 9.0e+00 4.9e-01 82 116 30.000 +4.843504574495878e-08 5.2e+00 1.0e+00 83 117 30.000 +4.364048847710490e-08 5.0e+00 1.0e+00 84 119 30.000 +4.293183285405252e-08 1.8e+00 1.1e-03 85 120 30.000 +3.823720905114489e-08 7.6e+00 1.0e+00 86 121 30.000 +3.643865196981689e-08 2.7e+00 1.0e+00 87 122 30.000 +3.217371968792341e-08 5.4e+00 1.0e+00 88 123 30.000 +2.960778851267445e-08 5.9e+00 1.0e+00 89 125 30.000 +2.785678790966848e-08 1.2e+00 4.3e-02 90 126 30.000 +2.484290984690561e-08 5.1e+00 1.0e+00 91 127 30.000 +2.353298789413335e-08 4.5e+00 1.0e+00 92 129 30.000 +2.241998195789114e-08 9.8e-01 3.5e-01 93 130 30.000 +1.996553773038350e-08 4.6e+00 1.0e+00 94 131 30.000 +1.890350538897561e-08 4.2e+00 1.0e+00 95 133 30.000 +1.807532897648127e-08 6.0e-01 2.6e-01 96 134 30.000 +1.610430838391341e-08 3.9e+00 1.0e+00 97 135 30.000 +1.531558615438135e-08 4.9e+00 1.0e+00 98 136 30.000 +1.281009971028588e-08 3.5e-01 1.0e+00 99 138 30.000 +1.222323495994415e-08 2.6e+00 2.6e-01 100 139 30.000 +1.172930680987995e-08 5.1e+00 1.0e+00 101 140 30.000 +1.058155239934927e-08 2.2e+00 1.0e+00 102 141 30.000 +9.575580136077689e-09 4.4e+00 1.0e+00 103 142 30.000 +8.686276092480292e-09 1.4e+00 1.0e+00 104 144 30.000 +8.049597664283130e-09 2.3e+00 4.7e-01 105 146 30.000 +7.577748067328787e-09 3.1e+00 5.0e-01 106 147 30.000 +5.458682852672314e-09 6.9e-02 1.0e+00 107 149 30.000 +5.361414096836946e-09 1.4e+00 8.6e-02 108 150 30.000 +5.123081200748713e-09 1.9e+00 1.0e+00 109 151 30.000 +4.752877940970906e-09 4.1e+00 1.0e+00 110 152 30.000 +3.911713771666528e-09 1.6e+00 1.0e+00 111 154 30.000 +3.416620665925929e-09 8.8e-01 4.6e-01 112 156 30.000 +3.338111501061056e-09 1.8e+00 2.7e-01 113 157 40.000 +3.185517539715895e-09 2.0e+00 1.0e+00 114 158 40.000 +2.577210746068756e-09 1.2e+00 1.0e+00 115 160 40.000 +2.275161493341121e-09 1.0e+00 1.6e-01 116 162 40.000 +2.221913853927370e-09 1.7e+00 5.0e-01 117 163 40.000 +2.074374720646673e-09 1.8e+00 1.0e+00 118 165 40.000 +1.946162344029284e-09 2.5e-01 5.3e-02 119 166 40.000 +1.689850191692338e-09 1.5e+00 1.0e+00 120 167 40.000 +1.583621244101759e-09 1.8e+00 1.0e+00 121 168 40.000 +1.258026831851828e-09 2.9e-01 1.0e+00 122 170 40.000 +1.157950443391110e-09 7.1e-01 2.1e-01 123 172 40.000 +1.109718070412597e-09 1.4e+00 5.2e-01 124 173 40.000 +1.015067476123645e-09 1.3e+00 1.0e+00 125 174 40.000 +8.443390724673179e-10 1.1e-02 1.0e+00 126 176 40.000 +7.743905389975907e-10 7.3e-01 2.4e-01 127 178 40.000 +7.390671119943815e-10 1.1e+00 4.1e-01 128 179 40.000 +6.406518091220302e-10 1.2e+00 1.0e+00 129 181 40.000 +5.781167239900143e-10 2.1e-01 7.5e-02 130 182 40.000 +4.838219207683547e-10 9.6e-01 1.0e+00 131 183 40.000 +4.436007742917175e-10 9.4e-01 1.0e+00 132 185 40.000 +4.165759654658784e-10 1.5e-02 1.2e-02 133 186 40.000 +3.443549878873022e-10 6.7e-01 1.0e+00 134 188 40.000 +3.252630959088708e-10 9.1e-01 4.2e-01 135 189 40.000 +2.446636077290202e-10 5.7e-01 1.0e+00 136 190 40.000 +2.043040088031166e-10 1.8e-02 1.0e+00 137 192 40.000 +1.777965796865707e-10 4.1e-01 2.2e-01 138 194 40.000 +1.648079052633371e-10 7.3e-01 4.9e-01 139 195 40.000 +1.336206994475344e-10 5.4e-01 1.0e+00 140 196 40.000 +1.030731390055362e-10 8.5e-02 1.0e+00 141 198 40.000 +9.090681095722565e-11 3.9e-01 2.5e-01 142 199 40.000 +8.072530962420410e-11 7.3e-01 1.0e+00 143 200 40.000 +5.760281177094709e-11 1.7e-01 1.0e+00 144 201 40.000 +4.469212390060571e-11 5.3e-01 1.0e+00 145 202 40.000 +3.550956900884073e-11 2.1e-01 1.0e+00 146 203 40.000 +2.485962654807147e-11 4.4e-01 1.0e+00 147 204 40.000 +1.827427779326229e-11 1.2e-01 1.0e+00 148 205 50.000 +1.140333671131098e-11 2.4e-01 1.0e+00 149 206 50.000 +7.790621822362736e-12 1.9e-01 1.0e+00 150 208 50.000 +6.504087648259691e-12 1.2e-02 2.6e-01 151 209 50.000 +3.473113184875687e-12 1.1e-01 1.0e+00 152 210 50.000 +2.856963890595276e-12 2.4e-01 1.0e+00 153 211 50.000 +8.574597413478288e-13 2.8e-02 1.0e+00 154 212 50.000 +3.313359866489814e-13 3.0e-02 1.0e+00 155 213 50.000 +1.924744646156148e-13 7.2e-02 1.0e+00 156 214 50.000 +2.340184971881855e-14 2.2e-02 1.0e+00 157 215 50.000 +4.350705562280530e-16 2.1e-03 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 5 (N=3): Box 3-dimensional function. # Method 0 (NDIR=3): Limited Memory BFGS (VMLM with NDIR=3) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.031153810609398e+03 1.5e+02 0.0e+00 1 6 0.000 +4.610319418674443e+02 6.2e+01 1.6e+01 2 7 0.000 +3.149670093564919e+02 9.5e+01 1.0e+00 3 9 0.000 +2.285540723702749e+00 3.1e+00 4.0e-01 4 10 0.000 +1.345514949937914e+00 4.5e+00 1.0e+00 5 11 0.000 +1.156931755147174e+00 3.9e+00 1.0e+00 6 12 0.000 +3.592900635269209e-01 1.5e+00 1.0e+00 7 13 0.000 +1.551055145737349e-01 8.2e-01 1.0e+00 8 14 0.000 +4.902340170173106e-02 3.7e-01 1.0e+00 9 15 0.000 +1.434324577514851e-02 1.2e-01 1.0e+00 10 16 0.000 +1.244170983234089e-02 4.4e-01 1.0e+00 11 17 0.000 +7.522112658883950e-04 4.4e-02 1.0e+00 12 18 0.000 +3.091705885664860e-04 1.1e-02 1.0e+00 13 19 0.000 +1.850203079503297e-04 1.4e-03 1.0e+00 14 20 0.000 +1.832133932600410e-04 5.9e-04 1.0e+00 15 21 0.000 +1.823010079018717e-04 6.8e-04 1.0e+00 16 22 0.000 +1.793135748882975e-04 1.6e-03 1.0e+00 17 24 10.000 +1.770168761246464e-04 4.6e-03 2.8e-01 18 25 10.000 +1.718858837408390e-04 3.7e-03 1.0e+00 19 26 10.000 +1.422830779349049e-04 4.1e-03 1.0e+00 20 27 10.000 +1.015121139994407e-04 7.8e-03 1.0e+00 21 28 10.000 +5.073592959023234e-05 5.3e-03 1.0e+00 22 30 10.000 +3.216975508375974e-05 1.1e-02 3.5e-01 23 31 10.000 +1.195153995192526e-05 4.5e-03 1.0e+00 24 32 10.000 +6.858322925236134e-07 6.4e-04 1.0e+00 25 33 10.000 +5.787099928735727e-08 5.9e-04 1.0e+00 26 35 10.000 +5.049124705898538e-08 2.9e-04 6.8e-02 27 36 10.000 +1.710763086604093e-08 2.3e-04 1.0e+00 28 38 20.000 +4.099313924365052e-09 3.2e-05 1.3e-01 29 39 20.000 +4.059614917830200e-15 9.5e-08 1.0e+00 30 41 20.000 +3.711911389493815e-15 1.5e-07 5.6e-02 # op_vmlmb_next: FATOL test satisfied # # # Problem 6 (N=10): Variably dimensioned function. # Method 0 (NDIR=10): Limited Memory BFGS (VMLM with NDIR=10) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +2.198551162500000e+06 4.5e+06 0.0e+00 1 3 0.000 +1.844066195950487e+06 3.9e+06 5.0e+00 2 4 0.000 +3.972565543337836e+05 1.2e+06 1.0e+00 3 5 0.000 +1.499150192396039e+05 6.0e+05 1.0e+00 4 6 0.000 +4.594647189542081e+04 2.5e+05 1.0e+00 5 7 0.000 +1.528267158080086e+04 1.1e+05 1.0e+00 6 8 0.000 +4.933847567625852e+03 4.6e+04 1.0e+00 7 9 0.000 +1.615384063365718e+03 2.0e+04 1.0e+00 8 10 0.000 +5.283585341617830e+02 8.6e+03 1.0e+00 9 11 0.000 +1.741616038934976e+02 3.7e+03 1.0e+00 10 12 0.000 +5.792888328208498e+01 1.6e+03 1.0e+00 11 13 0.000 +1.955805278487433e+01 6.9e+02 1.0e+00 12 14 0.000 +6.733243156039895e+00 3.0e+02 1.0e+00 13 15 0.000 +2.363707229239767e+00 1.3e+02 1.0e+00 14 16 0.000 +8.312950581540115e-01 6.0e+01 1.0e+00 15 17 0.000 +2.753322096541753e-01 2.7e+01 1.0e+00 16 18 0.000 +7.266807043699650e-02 1.2e+01 1.0e+00 17 19 10.000 +9.887009369118434e-03 4.0e+00 1.0e+00 18 20 10.000 +2.348158747196364e-04 6.0e-01 1.0e+00 19 21 10.000 +1.135564801413158e-07 1.3e-02 1.0e+00 20 22 10.000 +2.585229568748489e-14 6.3e-06 1.0e+00 21 23 10.000 +1.348064679409557e-27 1.4e-12 1.0e+00 # op_vmlmb_next: FATOL test satisfied # N may be 2 or greater but is usually 6 or 9 for problem #7 # # Problem 7 (N=6): Watson function. # Method 0 (NDIR=6): Limited Memory BFGS (VMLM with NDIR=6) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +3.000000000000000e+01 1.4e+02 0.0e+00 1 2 0.000 +2.112323531057563e+01 1.0e+02 1.0e+00 2 4 10.000 +8.614929619767933e+00 5.1e+01 4.0e-01 3 5 10.000 +5.345387016065473e+00 2.7e+01 1.0e+00 4 6 10.000 +3.067797642109527e+00 1.1e+01 1.0e+00 5 7 20.000 +2.274915708894575e+00 9.0e+00 1.0e+00 6 8 20.000 +5.455576008856107e-01 8.7e+00 1.0e+00 7 9 20.000 +2.523463437560831e-01 3.8e+00 1.0e+00 8 10 30.000 +8.532086791694250e-02 2.3e+00 1.0e+00 9 11 30.000 +2.112307438961702e-02 9.6e-01 1.0e+00 10 12 30.000 +1.069061751254410e-02 1.8e-01 1.0e+00 11 13 40.000 +1.034188884116857e-02 1.3e-01 1.0e+00 12 14 40.000 +1.023249730950831e-02 1.1e-01 1.0e+00 13 15 40.000 +9.937459871838653e-03 1.3e-01 1.0e+00 14 16 40.000 +9.653427106461538e-03 1.4e-01 1.0e+00 15 17 50.000 +9.538906826900152e-03 5.8e-02 1.0e+00 16 18 50.000 +9.505089101885040e-03 1.4e-02 1.0e+00 17 19 50.000 +9.501067083366344e-03 1.1e-02 1.0e+00 18 20 60.000 +9.496552042505397e-03 1.4e-02 1.0e+00 19 21 60.000 +9.474752286626899e-03 3.2e-02 1.0e+00 20 22 60.000 +9.431893594861952e-03 5.4e-02 1.0e+00 21 23 70.000 +9.332965491051386e-03 7.7e-02 1.0e+00 22 25 70.000 +9.302230099418857e-03 1.4e-01 1.8e-01 23 26 70.000 +9.154746437531031e-03 1.1e-01 1.0e+00 24 27 80.000 +8.998834707960368e-03 5.1e-02 1.0e+00 25 28 80.000 +8.927950351768278e-03 3.2e-02 1.0e+00 26 29 80.000 +8.881060852275226e-03 2.5e-02 1.0e+00 27 30 90.000 +8.837699428963692e-03 1.6e-02 1.0e+00 28 31 90.000 +8.818818498432740e-03 2.0e-02 1.0e+00 29 32 90.000 +8.810612914163303e-03 2.3e-02 1.0e+00 30 34 100.000 +8.806754156685781e-03 2.3e-02 2.7e-01 31 35 100.000 +8.799789277990456e-03 2.0e-02 1.0e+00 32 36 100.000 +8.779750396684612e-03 1.0e-02 1.0e+00 33 37 110.000 +8.756046193474271e-03 2.0e-02 1.0e+00 34 38 110.000 +8.710578788462599e-03 3.6e-02 1.0e+00 35 39 110.000 +8.540227974766270e-03 7.4e-02 1.0e+00 36 40 120.000 +8.148637139797800e-03 1.1e-01 1.0e+00 37 42 120.000 +7.985978368944765e-03 3.6e-01 2.3e-01 38 43 120.000 +7.015902518089577e-03 2.4e-01 1.0e+00 39 44 130.000 +5.738268751797510e-03 1.3e-01 1.0e+00 40 45 130.000 +4.699301526557387e-03 8.2e-02 1.0e+00 41 46 130.000 +3.786005786692817e-03 2.7e-01 1.0e+00 42 47 140.000 +3.356831113229648e-03 2.3e-01 1.0e+00 43 48 140.000 +2.773100619180104e-03 8.0e-02 1.0e+00 44 49 140.000 +2.608275326706195e-03 5.6e-02 1.0e+00 45 50 150.000 +2.493442106331490e-03 1.3e-01 1.0e+00 46 51 150.000 +2.369486083400675e-03 1.3e-01 1.0e+00 47 52 150.000 +2.323854693351216e-03 6.1e-02 1.0e+00 48 53 150.000 +2.315875648361071e-03 1.1e-02 1.0e+00 49 55 160.000 +2.313203318120400e-03 1.2e-02 5.0e+00 50 56 160.000 +2.303333896318442e-03 2.2e-02 1.0e+00 51 57 170.000 +2.293510881299302e-03 2.2e-02 1.0e+00 52 58 170.000 +2.289098205080985e-03 1.6e-02 1.0e+00 53 59 170.000 +2.288320396329099e-03 4.5e-03 1.0e+00 54 60 180.000 +2.288079737249570e-03 1.4e-03 1.0e+00 55 61 180.000 +2.288004590269144e-03 2.3e-03 1.0e+00 56 62 180.000 +2.287865329647340e-03 2.8e-03 1.0e+00 57 64 190.000 +2.287811434415814e-03 2.3e-03 2.1e-01 58 65 190.000 +2.287704406002491e-03 7.3e-04 1.0e+00 59 66 190.000 +2.287677790869025e-03 3.6e-04 1.0e+00 60 68 200.000 +2.287676284444183e-03 4.6e-04 1.3e-01 61 69 200.000 +2.287674498336141e-03 3.4e-04 1.0e+00 62 70 200.000 +2.287673037073540e-03 3.2e-04 1.0e+00 63 71 200.000 +2.287670095744777e-03 2.4e-05 1.0e+00 64 72 200.000 +2.287670061687262e-03 2.6e-05 1.0e+00 65 74 210.000 +2.287670054704694e-03 2.1e-06 2.1e-01 66 75 210.000 +2.287670054394308e-03 2.1e-06 1.0e+00 67 77 220.000 +2.287670054337171e-03 4.2e-06 1.4e-01 # op_vmlmb_next: FRTOL test satisfied # # # Problem 8 (N=10): Penalty function I. # Method 0 (NDIR=10): Limited Memory BFGS (VMLM with NDIR=10) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.480325653500000e+05 3.0e+04 0.0e+00 1 3 0.000 +1.205565226858750e+05 2.6e+04 5.0e+00 2 4 0.000 +2.630931282944596e+04 8.3e+03 1.0e+00 3 5 0.000 +9.866564648064366e+03 4.0e+03 1.0e+00 4 6 0.000 +3.021170876491109e+03 1.6e+03 1.0e+00 5 7 0.000 +9.994246743181659e+02 7.1e+02 1.0e+00 6 8 0.000 +3.200210031928694e+02 3.0e+02 1.0e+00 7 9 0.000 +1.032367894044077e+02 1.3e+02 1.0e+00 8 10 0.000 +3.290855537015815e+01 5.6e+01 1.0e+00 9 11 0.000 +1.037174698301403e+01 2.4e+01 1.0e+00 10 12 0.000 +3.191837380805488e+00 1.0e+01 1.0e+00 11 13 0.000 +9.428944102278216e-01 4.3e+00 1.0e+00 12 14 0.000 +2.586897361223939e-01 1.8e+00 1.0e+00 13 15 0.000 +6.207932999791647e-02 7.0e-01 1.0e+00 14 16 0.000 +1.165489509875569e-02 2.6e-01 1.0e+00 15 17 0.000 +1.423301577925470e-03 7.9e-02 1.0e+00 16 18 0.000 +1.386079492145554e-04 1.6e-02 1.0e+00 17 19 0.000 +7.505712769090872e-05 1.5e-03 1.0e+00 18 20 0.000 +7.446720195044365e-05 4.6e-05 1.0e+00 19 21 0.000 +7.446644316668483e-05 2.9e-05 1.0e+00 20 22 0.000 +7.446559909052636e-05 3.8e-05 1.0e+00 21 23 10.000 +7.446222154766161e-05 8.9e-05 1.0e+00 22 24 10.000 +7.445447564842977e-05 1.7e-04 1.0e+00 23 25 10.000 +7.443218053939244e-05 3.1e-04 1.0e+00 24 26 10.000 +7.436072686459934e-05 5.4e-04 1.0e+00 25 27 10.000 +7.348000227507052e-05 7.2e-04 1.0e+00 26 29 10.000 +7.346493665228908e-05 4.9e-04 1.6e-01 27 31 10.000 +7.335432320716626e-05 4.5e-04 5.0e+00 28 32 10.000 +7.311342239250981e-05 1.1e-03 1.0e+00 29 33 10.000 +7.284714321715194e-05 2.2e-05 1.0e+00 30 34 10.000 +7.261821450150018e-05 2.5e-04 1.0e+00 31 36 10.000 +7.252716260123995e-05 5.4e-04 1.9e-01 32 37 10.000 +7.240204037094003e-05 6.8e-04 1.0e+00 33 38 10.000 +7.215879936897602e-05 3.9e-04 1.0e+00 34 39 10.000 +7.198024236962589e-05 4.7e-04 1.0e+00 35 40 10.000 +7.171879316211459e-05 2.1e-04 1.0e+00 36 42 10.000 +7.160529714471503e-05 4.1e-04 1.5e-01 37 43 10.000 +7.154940812859575e-05 3.7e-04 1.0e+00 38 44 10.000 +7.138564666297283e-05 1.5e-05 1.0e+00 39 46 10.000 +7.133731305211021e-05 2.9e-04 1.5e-01 40 47 10.000 +7.128786407324396e-05 4.8e-04 1.0e+00 41 48 10.000 +7.119889136732272e-05 2.2e-04 1.0e+00 42 49 20.000 +7.114383014324918e-05 4.5e-04 1.0e+00 43 50 20.000 +7.106273352035935e-05 9.6e-06 1.0e+00 44 52 20.000 +7.103256251331088e-05 1.1e-04 3.6e-01 45 54 20.000 +7.101102078971187e-05 2.3e-04 3.0e-01 46 55 20.000 +7.098770825402372e-05 2.7e-04 1.0e+00 47 56 20.000 +7.094813910819320e-05 1.1e-04 1.0e+00 48 57 20.000 +7.093179631631011e-05 2.6e-04 1.0e+00 49 58 20.000 +7.090655388864105e-05 1.9e-05 1.0e+00 50 60 20.000 +7.089751729449729e-05 8.1e-05 5.0e-01 51 61 20.000 +7.089322934388766e-05 2.0e-04 1.0e+00 52 62 20.000 +7.088517521596352e-05 3.2e-05 1.0e+00 53 63 20.000 +7.088143433399173e-05 1.6e-05 1.0e+00 54 65 20.000 +7.087909154989929e-05 4.2e-05 4.8e-01 55 66 20.000 +7.087751381741585e-05 4.6e-05 1.0e+00 56 67 20.000 +7.087671090534305e-05 3.7e-06 1.0e+00 57 68 20.000 +7.087653495573820e-05 7.3e-06 1.0e+00 58 69 20.000 +7.087651656234245e-05 1.9e-06 1.0e+00 59 70 20.000 +7.087651467784210e-05 1.4e-07 1.0e+00 60 71 20.000 +7.087651467095230e-05 7.8e-09 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 9 (N=10): Penalty function II. # Method 0 (NDIR=10): Limited Memory BFGS (VMLM with NDIR=10) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.626527765659671e+02 5.0e+02 0.0e+00 1 3 10.000 +1.265832844853317e+02 4.1e+02 5.0e+00 2 4 10.000 +2.859921872171095e+01 1.4e+02 1.0e+00 3 5 10.000 +1.023245717261289e+01 6.4e+01 1.0e+00 4 6 10.000 +2.787019272785565e+00 2.5e+01 1.0e+00 5 7 10.000 +7.249622256677847e-01 1.0e+01 1.0e+00 6 8 10.000 +1.508776491520791e-01 3.8e+00 1.0e+00 7 9 10.000 +3.087306261352483e-02 1.2e+00 1.0e+00 8 10 10.000 +1.430683396229990e-02 2.9e-01 1.0e+00 9 11 10.000 +1.227388489288848e-02 2.0e-01 1.0e+00 10 12 10.000 +1.027652098255746e-02 2.5e-01 1.0e+00 11 13 10.000 +3.648267347491118e-03 1.8e-01 1.0e+00 12 15 10.000 +1.584881460053733e-03 9.0e-02 2.9e-01 13 16 10.000 +1.242562521415457e-03 1.9e-01 1.0e+00 14 17 10.000 +8.795340089799912e-04 1.3e-01 1.0e+00 15 18 20.000 +3.726623295224533e-04 2.9e-02 1.0e+00 16 19 20.000 +3.056954529836091e-04 1.9e-02 1.0e+00 17 20 20.000 +2.946763436517118e-04 4.3e-03 1.0e+00 18 21 20.000 +2.941531049820800e-04 2.3e-03 1.0e+00 19 22 20.000 +2.940747466873350e-04 1.4e-05 1.0e+00 20 23 20.000 +2.940747099038804e-04 9.9e-06 1.0e+00 21 26 20.000 +2.940733144928652e-04 1.8e-05 2.1e+01 22 27 20.000 +2.940576351973776e-04 3.3e-04 1.0e+00 23 29 20.000 +2.940504714467954e-04 7.5e-04 3.2e-01 24 30 20.000 +2.940377339560988e-04 1.2e-03 1.0e+00 25 31 20.000 +2.940160286976364e-04 4.5e-04 1.0e+00 26 32 20.000 +2.940080173240283e-04 6.8e-04 1.0e+00 27 33 20.000 +2.939946180227185e-04 3.0e-04 1.0e+00 28 35 20.000 +2.939837237860943e-04 6.0e-04 5.2e-01 29 36 20.000 +2.939606190849755e-04 1.2e-03 1.0e+00 30 38 20.000 +2.939492958520774e-04 2.8e-04 4.0e-01 31 39 20.000 +2.939408573715905e-04 3.4e-04 1.0e+00 32 41 20.000 +2.939305732945744e-04 6.6e-04 2.3e-01 33 42 20.000 +2.939213351987685e-04 1.0e-03 1.0e+00 34 43 20.000 +2.939132781297846e-04 2.0e-04 1.0e+00 35 44 20.000 +2.939027121389042e-04 2.1e-04 1.0e+00 36 46 20.000 +2.938969501544786e-04 6.0e-04 3.2e-01 37 47 20.000 +2.938900556737418e-04 6.7e-04 1.0e+00 38 48 20.000 +2.938827984445332e-04 4.2e-04 1.0e+00 39 50 20.000 +2.938766740960585e-04 7.1e-04 3.4e-01 40 51 20.000 +2.938619608832749e-04 6.7e-04 1.0e+00 41 52 30.000 +2.938560563172726e-04 5.1e-04 1.0e+00 42 54 30.000 +2.938467650160688e-04 1.4e-04 3.9e-01 43 57 30.000 +2.938419207762800e-04 3.4e-04 6.4e-02 44 58 30.000 +2.938394150312972e-04 5.4e-04 1.0e+00 45 59 30.000 +2.938359847215445e-04 4.4e-04 1.0e+00 46 60 30.000 +2.938320880443052e-04 5.9e-04 1.0e+00 47 61 30.000 +2.938233540855791e-04 5.8e-04 1.0e+00 48 63 30.000 +2.938198019991119e-04 1.3e-04 3.5e-01 49 64 30.000 +2.938118074245023e-04 8.5e-04 1.0e+00 50 65 30.000 +2.938055847606316e-04 6.0e-05 1.0e+00 51 66 30.000 +2.938004006633603e-04 3.0e-04 1.0e+00 52 68 30.000 +2.937970935732374e-04 5.7e-04 4.8e-01 53 69 40.000 +2.937906520791123e-04 4.7e-04 1.0e+00 54 70 40.000 +2.937821966183310e-04 3.4e-04 1.0e+00 55 71 40.000 +2.937730956247429e-04 1.9e-04 1.0e+00 56 73 40.000 +2.937708976924775e-04 4.2e-04 2.1e-01 57 75 40.000 +2.937640766006344e-04 5.0e-04 3.0e-01 58 76 40.000 +2.937548062295860e-04 1.2e-04 1.0e+00 59 78 40.000 +2.937522522581748e-04 3.7e-04 2.1e-01 60 79 40.000 +2.937485549279704e-04 7.5e-04 1.0e+00 61 80 40.000 +2.937415261385612e-04 1.3e-04 1.0e+00 62 81 40.000 +2.937351317381687e-04 3.0e-04 1.0e+00 63 83 40.000 +2.937321459455865e-04 5.3e-04 5.0e-01 64 84 40.000 +2.937255214895639e-04 3.2e-04 1.0e+00 65 85 40.000 +2.937211352029675e-04 3.9e-04 1.0e+00 66 86 50.000 +2.937122180012659e-04 3.6e-05 1.0e+00 67 88 50.000 +2.937101892175783e-04 4.8e-04 1.3e-01 68 89 50.000 +2.937072094672330e-04 2.6e-04 1.0e+00 69 91 50.000 +2.937041986470158e-04 3.3e-04 4.6e-01 70 92 50.000 +2.936995590645060e-04 3.2e-04 1.0e+00 71 94 50.000 +2.936988485606280e-04 4.1e-04 1.2e-01 72 95 50.000 +2.936945620594411e-04 1.6e-04 1.0e+00 73 97 50.000 +2.936910003372617e-04 1.1e-04 2.8e-01 74 99 50.000 +2.936900395092165e-04 2.8e-04 1.8e-01 75 100 50.000 +2.936889009670194e-04 3.2e-04 1.0e+00 76 101 50.000 +2.936869001106156e-04 2.2e-04 1.0e+00 77 102 50.000 +2.936843872130042e-04 2.6e-04 1.0e+00 78 103 60.000 +2.936811589902166e-04 1.6e-04 1.0e+00 79 105 60.000 +2.936793394018777e-04 9.5e-05 1.7e-01 80 107 60.000 +2.936789129516935e-04 2.1e-04 3.5e-01 81 108 60.000 +2.936782573911256e-04 2.2e-04 1.0e+00 82 110 60.000 +2.936774277733047e-04 2.2e-04 4.6e-01 83 111 60.000 +2.936753284282486e-04 4.3e-05 1.0e+00 84 113 60.000 +2.936746741813901e-04 1.7e-04 4.1e-01 85 114 60.000 +2.936738515354053e-04 2.6e-04 1.0e+00 86 115 60.000 +2.936727314818524e-04 3.8e-05 1.0e+00 87 117 60.000 +2.936722904900072e-04 1.0e-04 4.8e-01 88 119 60.000 +2.936720151663333e-04 1.4e-04 4.5e-01 89 120 60.000 +2.936715678213120e-04 1.3e-04 1.0e+00 90 121 70.000 +2.936711351012175e-04 9.6e-06 1.0e+00 91 123 70.000 +2.936709348567661e-04 7.6e-05 4.2e-01 92 124 70.000 +2.936707752946158e-04 1.1e-04 1.0e+00 93 125 70.000 +2.936705171171704e-04 2.2e-05 1.0e+00 94 126 70.000 +2.936704131480294e-04 7.1e-05 1.0e+00 95 127 70.000 +2.936703103625297e-04 7.0e-06 1.0e+00 96 128 70.000 +2.936702466751321e-04 1.4e-05 1.0e+00 97 129 70.000 +2.936701480717481e-04 4.0e-05 1.0e+00 98 130 70.000 +2.936701344181825e-04 3.0e-05 1.0e+00 99 131 70.000 +2.936700969341611e-04 1.3e-06 1.0e+00 100 132 70.000 +2.936700841212227e-04 2.3e-05 1.0e+00 101 133 70.000 +2.936700686974101e-04 2.9e-06 1.0e+00 102 134 70.000 +2.936700474379075e-04 1.5e-05 1.0e+00 103 135 70.000 +2.936700284757144e-04 5.8e-06 1.0e+00 104 136 70.000 +2.936699973342974e-04 7.0e-06 1.0e+00 105 138 80.000 +2.936699164750821e-04 2.5e-05 4.2e-01 106 139 80.000 +2.936699024654833e-04 4.8e-05 1.0e+00 107 140 80.000 +2.936698729294220e-04 2.4e-06 1.0e+00 108 141 80.000 +2.936698667461581e-04 1.2e-05 1.0e+00 109 142 80.000 +2.936698619827240e-04 4.4e-06 1.0e+00 110 143 80.000 +2.936698610580876e-04 1.3e-06 1.0e+00 111 144 80.000 +2.936698608394512e-04 1.3e-06 1.0e+00 112 145 80.000 +2.936698603961594e-04 2.5e-06 1.0e+00 113 146 80.000 +2.936698593692955e-04 4.5e-06 1.0e+00 114 147 80.000 +2.936698567022831e-04 7.4e-06 1.0e+00 115 148 80.000 +2.936698502301112e-04 1.1e-05 1.0e+00 116 149 80.000 +2.936698349096056e-04 1.4e-05 1.0e+00 117 150 80.000 +2.936698001744555e-04 1.6e-05 1.0e+00 118 153 90.000 +2.936697981945837e-04 3.2e-05 2.6e-02 119 154 90.000 +2.936697568621832e-04 2.5e-05 1.0e+00 120 155 90.000 +2.936697056916687e-04 2.3e-05 1.0e+00 121 156 90.000 +2.936696213411473e-04 3.2e-05 1.0e+00 122 158 90.000 +2.936695244444787e-04 9.9e-06 3.2e-01 123 160 90.000 +2.936694710741276e-04 3.6e-05 2.3e-01 124 161 90.000 +2.936692815801217e-04 4.3e-05 1.0e+00 125 163 90.000 +2.936690625629745e-04 9.1e-05 4.2e-01 126 164 90.000 +2.936681241552847e-04 2.1e-04 1.0e+00 127 166 90.000 +2.936667446870486e-04 1.9e-04 4.5e-01 128 168 90.000 +2.936662249012187e-04 2.2e-04 1.9e-01 129 170 90.000 +2.936657977667366e-04 3.3e-05 1.4e-01 130 171 100.000 +2.936647488952911e-04 1.3e-04 1.0e+00 131 172 100.000 +2.936642132594636e-04 2.1e-04 1.0e+00 132 173 100.000 +2.936635953449116e-04 1.6e-05 1.0e+00 133 174 100.000 +2.936630065583502e-04 1.2e-04 1.0e+00 134 175 100.000 +2.936627056433143e-04 1.2e-04 1.0e+00 135 177 100.000 +2.936625676535741e-04 3.7e-05 4.3e-01 136 178 100.000 +2.936623221353153e-04 5.7e-05 1.0e+00 137 179 100.000 +2.936620085307911e-04 6.7e-05 1.0e+00 138 180 100.000 +2.936619397818329e-04 8.8e-05 1.0e+00 139 182 100.000 +2.936618707128258e-04 1.2e-05 4.3e-01 140 183 100.000 +2.936617652874295e-04 3.7e-05 1.0e+00 141 184 100.000 +2.936617121743220e-04 3.6e-05 1.0e+00 142 185 100.000 +2.936616607815327e-04 9.7e-06 1.0e+00 143 186 100.000 +2.936616508392730e-04 2.6e-05 1.0e+00 144 187 110.000 +2.936616399931570e-04 1.5e-06 1.0e+00 145 188 110.000 +2.936616385141399e-04 1.1e-06 1.0e+00 146 189 110.000 +2.936616380151713e-04 4.9e-07 1.0e+00 147 190 110.000 +2.936616377971830e-04 1.5e-06 1.0e+00 148 191 110.000 +2.936616376223498e-04 2.1e-06 1.0e+00 149 192 110.000 +2.936616373041498e-04 2.3e-06 1.0e+00 150 194 110.000 +2.936616367385604e-04 2.8e-06 4.2e-01 151 195 110.000 +2.936616347004104e-04 4.3e-06 1.0e+00 152 196 110.000 +2.936616281882142e-04 3.6e-06 1.0e+00 153 197 110.000 +2.936616234986283e-04 1.2e-06 1.0e+00 154 198 110.000 +2.936616197955329e-04 5.7e-06 1.0e+00 155 199 110.000 +2.936616136665717e-04 8.4e-06 1.0e+00 156 201 110.000 +2.936616086587948e-04 2.8e-05 3.0e-01 157 202 110.000 +2.936615886651869e-04 2.9e-05 1.0e+00 158 204 120.000 +2.936615641393875e-04 4.0e-05 2.2e-01 159 205 120.000 +2.936614227893107e-04 4.6e-05 1.0e+00 160 206 120.000 +2.936611600685080e-04 7.3e-05 1.0e+00 161 207 120.000 +2.936609061164511e-04 7.7e-05 1.0e+00 162 210 120.000 +2.936608906706008e-04 7.5e-05 5.2e-02 163 211 120.000 +2.936608349800394e-04 6.9e-05 1.0e+00 164 213 120.000 +2.936607391257757e-04 2.0e-05 4.2e-01 165 215 120.000 +2.936607103378048e-04 1.5e-05 4.5e-01 166 216 120.000 +2.936606951169603e-04 2.4e-05 1.0e+00 167 217 120.000 +2.936606831983045e-04 2.0e-05 1.0e+00 168 218 120.000 +2.936606737806374e-04 9.1e-06 1.0e+00 169 219 120.000 +2.936606658755903e-04 5.4e-06 1.0e+00 170 220 130.000 +2.936606570235245e-04 2.6e-06 1.0e+00 171 221 130.000 +2.936606549844312e-04 2.2e-06 1.0e+00 172 223 130.000 +2.936606514671082e-04 5.3e-06 4.0e-01 173 224 130.000 +2.936606510077507e-04 3.5e-06 1.0e+00 174 225 130.000 +2.936606507449256e-04 1.3e-07 1.0e+00 175 226 130.000 +2.936606507234650e-04 1.9e-07 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 10 (N=2): Brown badly scaled function. # Method 0 (NDIR=2): Limited Memory BFGS (VMLM with NDIR=2) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +9.999980000030000e+11 2.0e+06 0.0e+00 1 13 0.000 +8.543308995097433e+11 1.3e+10 5.6e+06 2 15 0.000 +6.601182114541285e+11 1.3e+11 1.7e-01 3 17 0.000 +4.687876498123170e+11 4.3e+10 4.8e-01 4 20 0.000 +3.707311852204244e+11 1.3e+11 6.3e-02 5 21 0.000 +2.656750375116424e+11 1.9e+11 1.0e+00 6 23 0.000 +7.743097911364839e+10 4.7e+10 2.4e-01 7 24 0.000 +1.515276116119708e+10 2.4e+11 1.0e+00 8 26 0.000 +3.314098723902668e+08 1.8e+10 3.2e-01 9 27 0.000 +4.080703816900770e+06 3.5e+09 1.0e+00 10 28 0.000 +1.813217790492757e+03 2.8e+07 1.0e+00 11 29 0.000 +7.853417486687990e+00 2.9e+06 1.0e+00 12 30 0.000 +3.770344459331574e-04 3.6e+04 1.0e+00 13 32 10.000 +6.764235960370798e-06 2.4e+03 3.2e-01 14 33 10.000 +5.013188810142690e-13 6.6e-01 1.0e+00 15 34 10.000 +3.155443620886917e-30 3.6e-09 1.0e+00 16 36 10.000 +0.000000000000000e+00 0.0e+00 2.9e-01 # op_vmlmb_next: FATOL test satisfied # # # Problem 11 (N=4): Brown and Dennis function. # Method 0 (NDIR=4): Limited Memory BFGS (VMLM with NDIR=4) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +7.926693336997432e+06 2.1e+06 0.0e+00 1 3 0.000 +5.581498895993214e+06 1.5e+06 5.0e+00 2 4 0.000 +2.800637056595773e+06 4.0e+05 1.0e+00 3 5 0.000 +2.423011673325261e+06 2.6e+05 1.0e+00 4 6 0.000 +2.070092234140746e+06 3.2e+05 1.0e+00 5 7 0.000 +1.271546860240115e+06 3.2e+05 1.0e+00 6 8 10.000 +5.277682130740169e+05 6.7e+04 1.0e+00 7 9 10.000 +3.252581231572581e+05 4.3e+04 1.0e+00 8 10 10.000 +1.718167382797062e+05 4.1e+04 1.0e+00 9 11 10.000 +1.038924600678612e+05 5.1e+04 1.0e+00 10 12 10.000 +9.059128984816112e+04 1.7e+04 1.0e+00 11 13 10.000 +8.873052343147475e+04 4.8e+03 1.0e+00 12 14 10.000 +8.833183960352090e+04 5.1e+03 1.0e+00 13 15 10.000 +8.701651640032508e+04 5.6e+03 1.0e+00 14 16 20.000 +8.606261081687764e+04 2.0e+03 1.0e+00 15 17 20.000 +8.597183749298417e+04 6.5e+02 1.0e+00 16 18 20.000 +8.593901433231920e+04 7.6e+02 1.0e+00 17 19 20.000 +8.589313727581160e+04 8.2e+02 1.0e+00 18 20 20.000 +8.585657311691207e+04 1.6e+03 1.0e+00 19 21 20.000 +8.582613020095618e+04 2.1e+02 1.0e+00 20 22 20.000 +8.582274303903989e+04 7.4e+01 1.0e+00 21 24 20.000 +8.582237253930683e+04 8.9e+01 3.4e-01 22 25 30.000 +8.582222706464351e+04 3.5e+01 1.0e+00 23 26 30.000 +8.582220162929338e+04 4.4e-01 1.0e+00 24 28 30.000 +8.582220162786877e+04 9.2e-02 3.0e-01 # op_vmlmb_next: FRTOL test satisfied # # # Problem 12 (N=3): Gulf research and development function. # Method 0 (NDIR=3): Limited Memory BFGS (VMLM with NDIR=3) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.211070582556949e+01 4.0e+01 0.0e+00 1 2 10.000 +9.993536202671075e+00 3.5e+01 1.0e+00 2 4 20.000 +6.772289566686646e+00 9.4e+00 2.9e-01 3 5 30.000 +6.665874150555920e+00 5.4e+00 1.0e+00 4 6 30.000 +6.620923233029675e+00 3.4e-01 1.0e+00 5 7 40.000 +6.620661501484406e+00 2.3e-01 1.0e+00 6 8 40.000 +6.620314569920275e+00 3.0e-01 1.0e+00 7 9 50.000 +6.619131680144770e+00 6.7e-01 1.0e+00 8 10 60.000 +6.616322393666424e+00 1.3e+00 1.0e+00 9 11 60.000 +6.608287281267182e+00 2.3e+00 1.0e+00 10 12 70.000 +6.584838765724284e+00 4.1e+00 1.0e+00 11 13 70.000 +6.524148850927499e+00 6.7e+00 1.0e+00 12 14 80.000 +6.393500555503631e+00 8.7e+00 1.0e+00 13 15 80.000 +6.038960230628247e+00 5.3e+00 1.0e+00 14 16 90.000 +5.741819800069911e+00 5.5e+00 1.0e+00 15 19 110.000 +5.682036619774557e+00 7.4e-01 5.9e-03 16 20 110.000 +5.254260125925871e+00 5.9e+00 1.0e+00 17 21 120.000 +5.072615166933787e+00 1.6e+01 1.0e+00 18 22 120.000 +4.680962124840164e+00 7.8e+00 1.0e+00 19 23 130.000 +4.012290162727897e+00 4.3e+00 1.0e+00 20 24 140.000 +2.774731083515114e+00 1.8e+01 1.0e+00 21 27 150.000 +1.131032036494868e-01 3.5e+00 7.0e-01 22 28 160.000 +9.229339820957330e-02 3.9e+00 1.0e+00 23 30 170.000 +5.947236591258429e-03 2.3e-01 5.0e-01 24 31 170.000 +4.524222079914706e-03 5.5e-02 1.0e+00 25 32 180.000 +4.507196582025168e-03 6.8e-03 1.0e+00 26 33 190.000 +4.506943217787460e-03 5.5e-04 1.0e+00 27 35 200.000 +4.506927276879282e-03 6.3e-04 5.0e+00 28 36 200.000 +4.506779466721202e-03 1.3e-03 1.0e+00 29 37 210.000 +4.505576427418728e-03 5.9e-03 1.0e+00 30 38 210.000 +4.503371581530424e-03 4.5e-03 1.0e+00 31 40 230.000 +4.502583983981242e-03 1.7e-02 4.7e-02 32 41 230.000 +4.498864467660855e-03 1.5e-02 1.0e+00 33 43 240.000 +4.176671662140262e-03 3.8e-02 5.0e+00 34 44 250.000 +3.845964421794995e-03 2.7e-01 1.0e+00 35 45 260.000 +3.157167384183929e-03 7.8e-02 1.0e+00 36 47 270.000 +2.365153091639992e-03 1.4e-01 5.2e-01 37 49 280.000 +1.726065723370894e-03 1.2e-01 5.1e-01 38 55 310.000 +1.331684411504422e-03 2.0e-01 4.1e-02 39 56 320.000 +7.572229598421950e-04 8.3e-02 1.0e+00 40 58 330.000 +5.764961395366422e-04 8.0e-02 3.6e-01 41 59 330.000 +3.603084588501546e-04 2.1e-02 1.0e+00 42 60 340.000 +2.838040356652578e-04 1.8e-02 1.0e+00 43 62 350.000 +1.389220995354442e-04 6.5e-02 3.8e-01 44 63 360.000 +4.484381879808810e-05 6.9e-02 1.0e+00 45 66 370.000 +1.186599817310343e-05 2.5e-02 2.7e-03 46 68 380.000 +4.047904627666396e-06 6.9e-03 2.7e-01 47 69 390.000 +1.341318030698954e-06 2.8e-03 1.0e+00 48 70 390.000 +4.078199812471140e-08 2.7e-03 1.0e+00 49 71 400.000 +2.467804784934448e-08 8.3e-04 1.0e+00 50 72 410.000 +8.593827418402590e-09 5.0e-04 1.0e+00 51 73 410.000 +5.281826788713609e-09 5.3e-04 1.0e+00 52 75 420.000 +2.671946762296320e-09 1.3e-04 2.1e-01 53 76 430.000 +6.593811131762779e-10 6.1e-05 1.0e+00 54 77 430.000 +2.890651744634798e-10 4.3e-05 1.0e+00 55 78 440.000 +3.913529561245055e-16 1.7e-07 1.0e+00 56 80 450.000 +1.821418871558433e-16 1.9e-08 3.9e-02 # op_vmlmb_next: FATOL test satisfied # # # Problem 13 (N=10): Trigonometric function. # Method 0 (NDIR=10): Limited Memory BFGS (VMLM with NDIR=10) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +7.075759466222607e-03 9.9e-02 0.0e+00 1 4 0.000 +2.124279512686485e-03 4.0e-02 2.1e+01 2 6 10.000 +1.488442003626605e-03 2.4e-02 4.8e-01 3 7 10.000 +1.128489471085246e-03 2.3e-02 1.0e+00 4 8 10.000 +7.763757973555832e-04 2.0e-02 1.0e+00 5 9 10.000 +5.294521111229962e-04 1.6e-02 1.0e+00 6 10 10.000 +2.795439122336890e-04 1.4e-02 1.0e+00 7 12 10.000 +1.311764792722923e-04 1.2e-02 5.0e-01 8 13 10.000 +1.271921921195051e-04 1.7e-02 1.0e+00 9 14 10.000 +5.585127795708013e-05 3.7e-03 1.0e+00 10 15 10.000 +5.192964947062214e-05 2.3e-03 1.0e+00 11 16 10.000 +4.601788069998595e-05 1.2e-03 1.0e+00 12 17 10.000 +4.352137793095123e-05 1.6e-03 1.0e+00 13 18 10.000 +4.081228063535861e-05 1.7e-03 1.0e+00 14 19 10.000 +3.661934612137904e-05 2.1e-03 1.0e+00 15 20 10.000 +3.307769606000966e-05 2.4e-03 1.0e+00 16 21 20.000 +3.053435916344541e-05 1.8e-03 1.0e+00 17 22 20.000 +2.924883715417832e-05 8.4e-04 1.0e+00 18 23 20.000 +2.877772259848827e-05 4.7e-04 1.0e+00 19 24 20.000 +2.841533934175551e-05 5.0e-04 1.0e+00 20 25 20.000 +2.821678765284783e-05 6.0e-04 1.0e+00 21 26 20.000 +2.801305815645193e-05 2.8e-04 1.0e+00 22 27 20.000 +2.795885916186994e-05 8.8e-05 1.0e+00 23 28 20.000 +2.795137604850901e-05 4.2e-05 1.0e+00 24 29 20.000 +2.795068001511325e-05 1.6e-05 1.0e+00 25 30 20.000 +2.795056475796180e-05 2.5e-06 1.0e+00 26 31 20.000 +2.795056142241401e-05 6.1e-07 1.0e+00 27 32 20.000 +2.795056123189992e-05 1.9e-07 1.0e+00 28 33 20.000 +2.795056121891993e-05 1.2e-08 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 14 (N=10): Extended Rosenbrock function. # Method 0 (NDIR=10): Limited Memory BFGS (VMLM with NDIR=10) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.210000000000000e+02 5.2e+02 0.0e+00 1 3 10.000 +5.195791250647705e+01 2.8e+02 5.0e+00 2 4 10.000 +2.144494536291317e+01 4.1e+01 1.0e+00 3 5 10.000 +2.067184203800320e+01 5.7e+00 1.0e+00 4 6 10.000 +2.064749427261116e+01 4.0e+00 1.0e+00 5 7 10.000 +2.061444369139453e+01 5.5e+00 1.0e+00 6 8 10.000 +2.049797975780837e+01 1.2e+01 1.0e+00 7 9 10.000 +2.018144668510243e+01 2.3e+01 1.0e+00 8 13 10.000 +1.303885113775687e+01 3.0e+01 3.0e+00 9 15 10.000 +1.299623506396539e+01 2.5e+01 1.4e-01 10 17 10.000 +1.210002693123421e+01 2.1e+01 5.0e+00 11 18 10.000 +1.061337729492038e+01 3.7e+01 1.0e+00 12 19 10.000 +8.826484713136086e+00 5.3e+00 1.0e+00 13 20 10.000 +7.419481244086017e+00 9.7e+00 1.0e+00 14 22 10.000 +6.861158784236939e+00 1.5e+01 1.9e-01 15 23 10.000 +6.155193968453442e+00 1.7e+01 1.0e+00 16 24 10.000 +4.812694653556933e+00 1.0e+01 1.0e+00 17 25 10.000 +3.797255949783401e+00 8.7e+00 1.0e+00 18 26 10.000 +2.755819399563941e+00 6.5e+00 1.0e+00 19 28 10.000 +2.202584543183936e+00 1.1e+01 1.5e-01 20 29 10.000 +1.904047461744951e+00 7.3e+00 1.0e+00 21 31 10.000 +1.565604585366643e+00 1.0e+01 4.5e-01 22 32 10.000 +8.854373293031081e-01 1.7e+00 1.0e+00 23 34 20.000 +7.742731742919683e-01 7.7e+00 3.0e-01 24 35 20.000 +5.440349992677641e-01 1.2e+01 1.0e+00 25 36 20.000 +3.014024206792563e-01 8.4e-01 1.0e+00 26 38 20.000 +2.141375530747019e-01 4.3e+00 4.4e-01 27 39 20.000 +1.546452264939335e-01 1.2e+01 1.0e+00 28 40 20.000 +7.474753031688168e-02 1.4e+00 1.0e+00 29 41 20.000 +3.644572132626732e-02 8.7e-01 1.0e+00 30 42 20.000 +1.980458960418808e-02 5.6e+00 1.0e+00 31 43 20.000 +5.718211123052876e-03 1.2e-01 1.0e+00 32 44 20.000 +1.696324368851531e-03 1.8e-01 1.0e+00 33 45 20.000 +1.616765708420018e-04 5.5e-01 1.0e+00 34 46 20.000 +4.890314998060173e-06 9.0e-03 1.0e+00 35 47 20.000 +1.836531129816364e-08 8.0e-04 1.0e+00 36 48 20.000 +1.833444822455751e-12 5.7e-05 1.0e+00 37 49 20.000 +3.615672120490741e-17 2.6e-07 1.0e+00 38 50 20.000 +1.693454509163254e-23 6.1e-11 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 15 (N=12): Extended Powell function. # Method 0 (NDIR=12): Limited Memory BFGS (VMLM with NDIR=12) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +6.450000000000000e+02 7.9e+02 0.0e+00 1 3 0.000 +4.506167417035293e+02 5.7e+02 5.0e+00 2 4 0.000 +1.488475599911262e+02 2.1e+02 1.0e+00 3 5 0.000 +6.210866891785308e+01 8.3e+01 1.0e+00 4 6 0.000 +4.036987248868797e+01 3.7e+01 1.0e+00 5 7 0.000 +3.080452304341183e+01 2.7e+01 1.0e+00 6 8 10.000 +2.203965721026029e+01 1.6e+01 1.0e+00 7 9 10.000 +1.823495246817946e+01 1.5e+01 1.0e+00 8 10 10.000 +1.280359368625713e+00 8.6e+00 1.0e+00 9 11 10.000 +1.018065097838417e+00 1.4e+01 1.0e+00 10 12 10.000 +3.484247626993545e-01 3.4e+00 1.0e+00 11 13 10.000 +1.672399221124287e-01 2.4e+00 1.0e+00 12 14 10.000 +6.512927759047145e-02 1.8e+00 1.0e+00 13 15 10.000 +2.078901019178943e-02 4.8e-01 1.0e+00 14 16 10.000 +8.238957257582100e-03 1.8e-01 1.0e+00 15 17 10.000 +3.814942873595965e-03 1.3e-01 1.0e+00 16 18 10.000 +1.807598616308407e-03 3.6e-01 1.0e+00 17 19 10.000 +6.830699855050611e-04 1.5e-01 1.0e+00 18 20 10.000 +2.477965986037804e-04 1.4e-02 1.0e+00 19 21 10.000 +1.092148404470894e-04 2.1e-02 1.0e+00 20 22 10.000 +4.218681534169369e-05 4.8e-03 1.0e+00 21 24 10.000 +3.130454940073219e-05 1.5e-02 3.8e-01 22 25 10.000 +1.243367030613627e-05 1.1e-02 1.0e+00 23 26 10.000 +4.115111967989273e-06 1.5e-02 1.0e+00 24 27 10.000 +2.481308700972211e-06 1.8e-03 1.0e+00 25 28 10.000 +2.428515205585199e-06 5.0e-04 1.0e+00 26 29 10.000 +2.392664833478133e-06 5.4e-04 1.0e+00 27 30 10.000 +2.246841922028263e-06 2.4e-03 1.0e+00 28 31 10.000 +1.979323789314795e-06 4.5e-03 1.0e+00 29 32 10.000 +1.458994347704197e-06 6.2e-03 1.0e+00 30 33 20.000 +7.582913312208130e-07 5.3e-03 1.0e+00 31 34 20.000 +2.475523135971584e-07 1.6e-03 1.0e+00 32 35 20.000 +8.039736130803874e-08 2.2e-04 1.0e+00 33 36 20.000 +3.118671264678926e-08 2.9e-04 1.0e+00 34 37 20.000 +1.025180452526334e-08 2.7e-04 1.0e+00 35 39 20.000 +7.501819000869470e-09 5.0e-04 4.1e-01 36 40 20.000 +2.502505770177015e-09 1.2e-04 1.0e+00 37 41 20.000 +8.276593671848278e-10 1.2e-05 1.0e+00 38 42 20.000 +5.111498274205044e-10 4.6e-05 1.0e+00 39 44 20.000 +4.846768577441104e-10 2.9e-05 2.0e-01 40 45 20.000 +4.543151411926542e-10 1.6e-05 1.0e+00 41 46 20.000 +4.141971208633211e-10 1.2e-05 1.0e+00 42 47 20.000 +1.643237484227826e-10 7.6e-06 1.0e+00 43 48 20.000 +5.810871928451810e-11 3.4e-06 1.0e+00 44 49 20.000 +1.861680021774127e-11 2.6e-07 1.0e+00 45 50 20.000 +6.129829909688230e-12 4.2e-07 1.0e+00 46 51 20.000 +2.004164086749484e-12 1.3e-06 1.0e+00 47 52 20.000 +6.860171750552450e-13 1.7e-07 1.0e+00 48 54 20.000 +6.033116570776709e-13 4.3e-06 2.3e-01 49 55 20.000 +2.016355360672957e-13 1.1e-06 1.0e+00 50 56 20.000 +7.385175768438794e-14 5.7e-08 1.0e+00 51 57 20.000 +3.116385984594782e-14 7.9e-08 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 16 (N=2): Beale function. # Method 0 (NDIR=2): Limited Memory BFGS (VMLM with NDIR=2) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.420312500000000e+01 2.8e+01 0.0e+00 1 3 0.000 +1.240290887071195e+01 2.3e+01 5.0e+00 2 4 0.000 +5.420232324062264e+00 1.0e+01 1.0e+00 3 5 0.000 +2.114385709149994e+00 4.6e+00 1.0e+00 4 6 0.000 +1.039963486195111e+00 2.4e+00 1.0e+00 5 7 0.000 +6.966692547119516e-01 2.0e+00 1.0e+00 6 8 0.000 +2.401495328682008e-01 3.2e+00 1.0e+00 7 9 0.000 +9.239329667000183e-02 1.0e+00 1.0e+00 8 10 0.000 +4.812973139167460e-02 3.6e-01 1.0e+00 9 11 0.000 +2.794505551880417e-02 8.2e-01 1.0e+00 10 12 0.000 +1.750033141387323e-02 4.3e-01 1.0e+00 11 13 0.000 +2.992109071968803e-03 6.9e-02 1.0e+00 12 14 0.000 +5.688357112872060e-04 3.9e-02 1.0e+00 13 16 0.000 +5.244087251845022e-04 3.3e-02 5.6e-02 14 17 0.000 +1.901520162762798e-05 1.5e-02 1.0e+00 15 18 0.000 +2.316402788904347e-07 1.4e-03 1.0e+00 16 19 0.000 +4.268725418153200e-08 2.0e-03 1.0e+00 17 21 0.000 +6.395757698348822e-09 1.1e-04 3.1e-02 18 22 0.000 +4.732267475266416e-14 6.2e-07 1.0e+00 19 23 0.000 +6.400587922878116e-21 6.6e-10 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 17 (N=4): Wood function. # Method 0 (NDIR=4): Limited Memory BFGS (VMLM with NDIR=4) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 0.000 +1.919200000000000e+04 1.6e+04 0.0e+00 1 3 0.000 +1.578614684216497e+04 1.4e+04 5.0e+00 2 4 0.000 +3.660459380341935e+03 4.6e+03 1.0e+00 3 5 0.000 +1.429079127615474e+03 2.2e+03 1.0e+00 4 6 0.000 +4.428803386840066e+02 9.0e+02 1.0e+00 5 7 0.000 +1.361564921342831e+02 3.7e+02 1.0e+00 6 8 0.000 +4.126523554085319e+01 1.2e+02 1.0e+00 7 9 0.000 +2.381145681882392e+01 3.1e+01 1.0e+00 8 10 10.000 +2.189564590777921e+01 2.5e+01 1.0e+00 9 11 10.000 +2.029194308572351e+01 3.1e+01 1.0e+00 10 12 10.000 +1.186621548645386e+01 4.6e+01 1.0e+00 11 14 10.000 +8.112827786457347e+00 1.7e+01 1.8e-01 12 16 10.000 +7.898414036584419e+00 5.9e+00 4.0e-01 13 17 10.000 +7.889380335219514e+00 4.1e+00 1.0e+00 14 18 10.000 +7.876843992636184e+00 4.1e-01 1.0e+00 15 19 10.000 +7.876698356365671e+00 1.5e-01 1.0e+00 16 20 10.000 +7.876671394086086e+00 9.0e-03 1.0e+00 17 21 10.000 +7.876671210247919e+00 8.7e-03 1.0e+00 18 22 10.000 +7.876670007979660e+00 2.7e-02 1.0e+00 19 23 10.000 +7.876667852552128e+00 5.0e-02 1.0e+00 20 24 10.000 +7.876661700643719e+00 9.1e-02 1.0e+00 21 25 10.000 +7.876643614504882e+00 1.6e-01 1.0e+00 22 26 10.000 +7.876602090071569e+00 2.4e-01 1.0e+00 23 27 10.000 +7.876511150982294e+00 3.5e-01 1.0e+00 24 28 10.000 +7.876325247611873e+00 5.0e-01 1.0e+00 25 29 10.000 +7.876048501973350e+00 8.1e-01 1.0e+00 26 30 10.000 +7.875178965104611e+00 4.5e-01 1.0e+00 27 32 10.000 +7.874495911985798e+00 7.6e-01 2.5e-01 28 34 10.000 +7.873401415872131e+00 1.5e+00 1.7e-01 29 35 10.000 +7.869736579753146e+00 2.2e+00 1.0e+00 30 36 10.000 +7.858285416647448e+00 4.1e+00 1.0e+00 31 37 10.000 +7.837277185140795e+00 5.1e+00 1.0e+00 32 39 10.000 +7.810609002569148e+00 3.6e+00 2.7e-01 33 41 10.000 +7.795956305684443e+00 4.4e+00 4.0e-01 34 43 10.000 +7.788718279391500e+00 4.4e+00 4.5e-01 35 44 20.000 +7.771173062897709e+00 5.6e+00 1.0e+00 36 46 20.000 +7.749024365349736e+00 6.4e+00 3.7e-01 37 47 20.000 +7.699025526883944e+00 6.6e+00 1.0e+00 38 48 20.000 +7.632542388974617e+00 9.3e+00 1.0e+00 39 50 20.000 +7.549918469837330e+00 1.0e+01 2.0e-01 40 52 20.000 +7.485019379163363e+00 9.0e+00 2.3e-01 41 53 20.000 +7.340709526401657e+00 1.1e+01 1.0e+00 42 54 20.000 +7.216580807676558e+00 9.6e+00 1.0e+00 43 56 20.000 +7.143331067658469e+00 1.0e+01 1.5e-01 44 58 20.000 +6.930844675002371e+00 6.2e+00 4.9e-01 45 60 20.000 +6.874688888212190e+00 4.9e+00 3.5e-01 46 62 20.000 +6.843047720861073e+00 8.5e+00 1.9e-01 47 63 20.000 +6.743933151023846e+00 7.8e+00 1.0e+00 48 65 20.000 +6.460387081710158e+00 1.0e+01 4.9e-01 49 66 20.000 +5.872158568277053e+00 1.4e+01 1.0e+00 50 67 20.000 +5.621202292071124e+00 1.5e+01 1.0e+00 51 69 20.000 +5.117110137677830e+00 7.6e+00 2.3e-01 52 71 20.000 +4.973386565149078e+00 1.3e+01 2.3e-01 53 72 20.000 +4.686447374235895e+00 1.8e+01 1.0e+00 54 73 20.000 +4.260568035734398e+00 1.7e+01 1.0e+00 55 75 20.000 +3.735814316400308e+00 3.1e+01 4.4e-01 56 78 20.000 +3.186407433240456e+00 2.8e+01 5.9e-02 57 80 20.000 +3.003599348598159e+00 2.3e+01 2.9e-01 58 81 20.000 +2.784495186751739e+00 1.2e+01 1.0e+00 59 82 20.000 +2.710629953792200e+00 9.4e+00 1.0e+00 60 83 30.000 +2.556470222529534e+00 1.0e+01 1.0e+00 61 84 30.000 +2.405724363547733e+00 9.5e+00 1.0e+00 62 85 30.000 +2.259911732005455e+00 8.4e+00 1.0e+00 63 87 30.000 +2.132319111013548e+00 1.8e+01 3.1e-01 64 88 30.000 +1.978927057110736e+00 4.0e+00 1.0e+00 65 90 30.000 +1.949396662429649e+00 5.4e+00 4.9e-01 66 91 30.000 +1.848814256564357e+00 7.6e+00 1.0e+00 67 93 30.000 +1.754768825755225e+00 7.7e+00 3.1e-01 68 94 30.000 +1.452075034609090e+00 1.1e+01 1.0e+00 69 96 30.000 +1.302460081104083e+00 1.3e+01 3.4e-01 70 97 30.000 +1.228657745192686e+00 4.1e+00 1.0e+00 71 98 30.000 +1.092892042022674e+00 6.1e+00 1.0e+00 72 99 30.000 +9.779164614242386e-01 6.7e+00 1.0e+00 73 100 30.000 +8.163872157098471e-01 5.1e+00 1.0e+00 74 102 30.000 +7.596403679232007e-01 1.4e+01 2.8e-01 75 103 30.000 +5.500886627365218e-01 1.0e+01 1.0e+00 76 104 30.000 +2.044804504743870e-01 9.9e+00 1.0e+00 77 106 30.000 +1.695388987123477e-01 1.0e+01 1.4e-01 78 107 30.000 +1.042749504526617e-01 5.6e+00 1.0e+00 79 108 30.000 +6.387554646859511e-02 3.4e+00 1.0e+00 80 109 30.000 +3.458952550846425e-02 5.4e+00 1.0e+00 81 110 30.000 +2.313921525333753e-02 4.8e+00 1.0e+00 82 111 30.000 +1.552936331410380e-02 3.5e+00 1.0e+00 83 112 30.000 +4.979451499428010e-03 9.6e-01 1.0e+00 84 113 30.000 +3.299916057417429e-03 8.6e-01 1.0e+00 85 114 30.000 +1.591708260385726e-03 9.2e-01 1.0e+00 86 115 30.000 +1.250730083944283e-03 1.4e+00 1.0e+00 87 116 30.000 +9.322465405426603e-06 8.0e-02 1.0e+00 88 117 40.000 +2.169973760186731e-06 3.1e-02 1.0e+00 89 118 40.000 +1.481353354295906e-06 2.0e-02 1.0e+00 90 119 40.000 +5.357273894496025e-07 1.5e-02 1.0e+00 91 120 40.000 +1.396126762381097e-07 1.2e-02 1.0e+00 92 121 40.000 +2.127077598565458e-08 4.0e-03 1.0e+00 93 122 40.000 +7.042318700394169e-09 2.3e-03 1.0e+00 94 123 40.000 +4.175591999173467e-10 8.1e-04 1.0e+00 95 124 40.000 +3.421988952565799e-12 4.0e-05 1.0e+00 96 125 40.000 +3.701781543995103e-13 1.5e-05 1.0e+00 97 127 40.000 +4.250771940469480e-14 5.0e-06 4.7e-01 98 128 40.000 +2.098871155962808e-16 4.0e-07 1.0e+00 # op_vmlmb_next: FATOL test satisfied # # # Problem 18 (N=25): Chebyquad function. # Method 0 (NDIR=25): Limited Memory BFGS (VMLM with NDIR=25) # # ITER EVAL CPU (ms) FUNC GNORM STEPLEN # --------------------------------------------------------------- 0 1 10.000 +1.248791904880204e-02 6.5e-01 0.0e+00 1 3 20.000 +1.050227847993282e-02 5.6e-01 1.9e-01 2 4 30.000 +9.616400129916325e-03 2.8e-01 1.0e+00 3 5 40.000 +9.332171548692769e-03 1.3e-01 1.0e+00 4 6 50.000 +9.163023126626653e-03 1.2e-01 1.0e+00 5 7 50.000 +8.993101171194982e-03 1.1e-01 1.0e+00 6 8 60.000 +8.844592483645943e-03 7.6e-02 1.0e+00 7 9 70.000 +8.798291710028317e-03 9.2e-02 1.0e+00 8 10 80.000 +8.754725682059665e-03 4.6e-02 1.0e+00 9 11 90.000 +8.737058629291779e-03 3.3e-02 1.0e+00 10 12 90.000 +8.713441754721031e-03 3.1e-02 1.0e+00 11 13 100.000 +8.691656127849608e-03 3.3e-02 1.0e+00 12 14 110.000 +8.667761094589849e-03 2.6e-02 1.0e+00 13 15 120.000 +8.653677210732994e-03 3.7e-02 1.0e+00 14 16 130.000 +8.643020950808889e-03 1.7e-02 1.0e+00 15 17 130.000 +8.636722107407660e-03 1.5e-02 1.0e+00 16 18 140.000 +8.631508660511059e-03 2.0e-02 1.0e+00 17 20 160.000 +8.626903272786436e-03 4.4e-02 3.9e-01 18 21 170.000 +8.617241437439877e-03 2.2e-02 1.0e+00 19 22 170.000 +8.611594246914265e-03 1.3e-02 1.0e+00 20 23 180.000 +8.609036629091347e-03 8.3e-03 1.0e+00 21 24 190.000 +8.607392167394413e-03 7.1e-03 1.0e+00 22 25 200.000 +8.603471150545642e-03 1.5e-02 1.0e+00 23 26 210.000 +8.595944886553006e-03 2.9e-02 1.0e+00 24 27 210.000 +8.576994031596834e-03 5.3e-02 1.0e+00 25 29 230.000 +8.549929747756422e-03 9.1e-02 5.2e-01 26 31 250.000 +8.525863635037961e-03 1.0e-01 4.5e-01 27 32 250.000 +8.476255556082944e-03 5.7e-02 1.0e+00 28 33 260.000 +8.471644145572856e-03 6.1e-02 1.0e+00 29 34 270.000 +8.454100269205383e-03 3.3e-02 1.0e+00 30 35 280.000 +8.450275753013881e-03 3.3e-02 1.0e+00 31 36 290.000 +8.446733667678428e-03 1.3e-02 1.0e+00 32 37 290.000 +8.444518251654265e-03 1.1e-02 1.0e+00 33 38 300.000 +8.443832827036158e-03 1.6e-02 1.0e+00 34 39 310.000 +8.442642002852139e-03 7.3e-03 1.0e+00 35 40 320.000 +8.442058623262104e-03 1.1e-02 1.0e+00 36 41 330.000 +8.441022084169841e-03 1.2e-02 1.0e+00 37 42 340.000 +8.438412012876158e-03 1.3e-02 1.0e+00 38 43 340.000 +8.436735092898856e-03 2.7e-02 1.0e+00 39 44 350.000 +8.434284245106012e-03 1.6e-02 1.0e+00 40 45 360.000 +8.433356675332232e-03 1.1e-02 1.0e+00 41 46 370.000 +8.432657621943408e-03 5.8e-03 1.0e+00 42 47 380.000 +8.432330528467528e-03 2.5e-03 1.0e+00 43 48 390.000 +8.432138173426029e-03 2.1e-03 1.0e+00 44 50 400.000 +8.432111540860900e-03 3.2e-03 3.0e-01 45 51 410.000 +8.432074199752502e-03 2.2e-03 1.0e+00 46 52 420.000 +8.432018330549559e-03 1.6e-03 1.0e+00 47 53 430.000 +8.431934282833207e-03 2.2e-03 1.0e+00 48 54 430.000 +8.431818506031901e-03 3.0e-03 1.0e+00 49 55 440.000 +8.431653399078665e-03 4.3e-03 1.0e+00 50 56 450.000 +8.431503704101524e-03 4.7e-03 1.0e+00 51 57 460.000 +8.431336591594925e-03 2.5e-03 1.0e+00 52 58 470.000 +8.431089435422799e-03 4.6e-03 1.0e+00 53 59 470.000 +8.430787115836969e-03 7.1e-03 1.0e+00 54 60 480.000 +8.429832899134689e-03 1.3e-02 1.0e+00 55 61 490.000 +8.428762577939609e-03 1.7e-02 1.0e+00 56 62 500.000 +8.427581528916081e-03 1.1e-02 1.0e+00 57 63 510.000 +8.426323341990088e-03 6.9e-03 1.0e+00 58 64 510.000 +8.425715019525397e-03 8.7e-03 1.0e+00 59 65 520.000 +8.425560579397232e-03 5.5e-03 1.0e+00 60 66 530.000 +8.425117401666302e-03 9.9e-03 1.0e+00 61 67 540.000 +8.424701280386204e-03 7.2e-03 1.0e+00 62 68 550.000 +8.424406082892142e-03 3.0e-03 1.0e+00 63 69 560.000 +8.424158445897686e-03 6.2e-03 1.0e+00 64 70 560.000 +8.423977484630366e-03 2.3e-03 1.0e+00 65 71 570.000 +8.423873096215626e-03 1.7e-03 1.0e+00 66 72 580.000 +8.423811300164110e-03 2.6e-03 1.0e+00 67 73 590.000 +8.423779042281112e-03 2.6e-03 1.0e+00 68 74 600.000 +8.423755096815384e-03 1.3e-03 1.0e+00 69 75 600.000 +8.423746162677295e-03 7.9e-04 1.0e+00 70 76 610.000 +8.423740849862707e-03 6.7e-04 1.0e+00 71 77 620.000 +8.423736435094430e-03 1.5e-03 1.0e+00 72 78 630.000 +8.423732163296544e-03 5.0e-04 1.0e+00 73 79 640.000 +8.423730914069543e-03 3.0e-04 1.0e+00 74 80 640.000 +8.423728983473175e-03 2.8e-04 1.0e+00 75 81 650.000 +8.423727040369641e-03 3.2e-04 1.0e+00 76 82 660.000 +8.423723895426557e-03 4.6e-04 1.0e+00 77 83 670.000 +8.423720254054808e-03 5.6e-04 1.0e+00 78 84 680.000 +8.423716457626188e-03 4.1e-04 1.0e+00 79 85 680.000 +8.423711556477019e-03 5.3e-04 1.0e+00 80 86 690.000 +8.423706089198678e-03 6.1e-04 1.0e+00 81 87 700.000 +8.423699108903976e-03 6.7e-04 1.0e+00 82 88 710.000 +8.423694645844549e-03 6.9e-04 1.0e+00 83 89 720.000 +8.423691803895031e-03 4.1e-04 1.0e+00 84 90 730.000 +8.423690216025766e-03 2.1e-04 1.0e+00 85 91 730.000 +8.423689585234165e-03 1.6e-04 1.0e+00 86 92 740.000 +8.423689205171333e-03 1.2e-04 1.0e+00 87 93 750.000 +8.423689098687428e-03 1.6e-04 1.0e+00 88 94 760.000 +8.423689003400708e-03 3.3e-05 1.0e+00 89 95 770.000 +8.423688992935762e-03 2.2e-05 1.0e+00 90 96 770.000 +8.423688980835363e-03 1.6e-05 1.0e+00 91 97 780.000 +8.423688974395171e-03 1.6e-05 1.0e+00 92 98 790.000 +8.423688960792156e-03 2.5e-05 1.0e+00 93 99 800.000 +8.423688922396857e-03 3.9e-05 1.0e+00 94 101 810.000 +8.423688899589808e-03 7.6e-05 3.6e-01 95 102 820.000 +8.423688854896423e-03 5.4e-05 1.0e+00 96 103 830.000 +8.423688789903763e-03 4.2e-05 1.0e+00 97 105 850.000 +8.423688783919279e-03 1.9e-05 4.6e-01 98 106 850.000 +8.423688781424700e-03 1.0e-05 1.0e+00 99 107 860.000 +8.423688780109496e-03 1.4e-05 1.0e+00 100 108 870.000 +8.423688779689048e-03 1.8e-05 1.0e+00 101 109 880.000 +8.423688779030322e-03 7.0e-06 1.0e+00 102 110 890.000 +8.423688778627219e-03 5.1e-06 1.0e+00 # op_vmlmb_next: FRTOL test satisfied # make[1]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' fakeroot debian/rules binary-arch dh binary-arch dh_testroot -a dh_prep -a dh_installdirs -a debian/rules override_dh_auto_install make[1]: Entering directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' dh_installyorick --no-make-install make[1]: Leaving directory `/«BUILDDIR»/yorick-optimpack-1.3.2+dfsg' dh_install -a dh_installdocs -a dh_installchangelogs -a dh_installexamples -a dh_installman -a dh_installcatalogs -a dh_installcron -a dh_installdebconf -a dh_installemacsen -a dh_installifupdown -a dh_installinfo -a dh_installinit -a dh_installmenu -a dh_installmime -a dh_installmodules -a dh_installlogcheck -a dh_installlogrotate -a dh_installpam -a dh_installppp -a dh_installudev -a dh_installwm -a dh_installxfonts -a dh_installgsettings -a dh_bugfiles -a dh_ucf -a dh_lintian -a dh_gconf -a dh_icons -a dh_perl -a dh_usrlocal -a dh_link -a dh_compress -a dh_fixperms -a dh_strip -a dh_makeshlibs -a dh_shlibdeps -a dh_installdeb -a dh_gencontrol -a dh_md5sums -a dh_builddeb -a dpkg-deb: building package `yorick-optimpack' in `../yorick-optimpack_1.3.2+dfsg-1_armhf.deb'. dpkg-genchanges -B -mbuildd >../yorick-optimpack_1.3.2+dfsg-1_armhf.changes dpkg-genchanges: arch-specific upload - not including arch-independent packages dpkg-genchanges: binary-only upload - not including any source code dpkg-source --after-build yorick-optimpack-1.3.2+dfsg dpkg-buildpackage: binary only upload (no source included) ──────────────────────────────────────────────────────────────────────────────── Build finished at 20120709-1403 Finished ──────── I: Built successfully ┌──────────────────────────────────────────────────────────────────────────────┐ │ Changes │ └──────────────────────────────────────────────────────────────────────────────┘ yorick-optimpack_1.3.2+dfsg-1_armhf.changes: ──────────────────────────────────────────── Format: 1.8 Date: Thu, 28 Jun 2012 14:07:26 +0200 Source: yorick-optimpack Binary: yorick-optimpack Architecture: armhf Version: 1.3.2+dfsg-1 Distribution: testing Urgency: low Maintainer: buildd Changed-By: Thibaut Paumard Description: yorick-optimpack - optimization of large scale problems for the Yorick language Changes: yorick-optimpack (1.3.2+dfsg-1) unstable; urgency=low . * New upstream * Actually hand over maintenance to the science team * Harden Checksums-Sha1: 9724483191fe461ef9b73db584fe5c0c2668f42f 27228 yorick-optimpack_1.3.2+dfsg-1_armhf.deb Checksums-Sha256: ea53f81427bf6fb5e1a26f34eea5e5098b67d7d8242b8da409a2d2b214ddf416 27228 yorick-optimpack_1.3.2+dfsg-1_armhf.deb Files: ef64caab8ec2a8933af0700db8d61ea4 27228 science extra yorick-optimpack_1.3.2+dfsg-1_armhf.deb ┌──────────────────────────────────────────────────────────────────────────────┐ │ Package contents │ └──────────────────────────────────────────────────────────────────────────────┘ yorick-optimpack_1.3.2+dfsg-1_armhf.deb ─────────────────────────────────────── new debian package, version 2.0. size 27228 bytes: control archive= 1145 bytes. 977 bytes, 21 lines control 854 bytes, 11 lines md5sums Package: yorick-optimpack Version: 1.3.2+dfsg-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 122 Depends: libc6 (>= 2.13-28), yorick (>= 1.6.02) Section: science Priority: extra Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/optimpack.html Description: optimization of large scale problems for the Yorick language OptimPack is a portable C library which implements algorithms for optimization of large scale problems with bound constraints. Large scale means some million variables (e.g. pixel values) or more. . The most important algorithm is VMLM-B: a variable metric method with limited memory requirements and, possibly, bound constraints on the parameters. The algorithm is based on limited memory BFGS updates with Moré & Thuente inexact line search and gradient projection to account for bounds. . This package contains a Yorick plug-in based on OptimPack. drwxr-xr-x root/root 0 2012-07-09 14:02 ./ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/lib/ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/lib/yorick/ drwxr-xr-x root/root 0 2012-07-09 14:03 ./usr/lib/yorick/lib/ -rw-r--r-- root/root 21948 2012-07-09 14:03 ./usr/lib/yorick/lib/OptimPack1.so drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/yorick-doc/ -rw-r--r-- root/root 133 2012-07-09 14:02 ./usr/share/yorick-doc/yorick-optimpack.packinfo drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/yorick/ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/yorick/i-start/ -rw-r--r-- root/root 205 2012-07-09 14:02 ./usr/share/yorick/i-start/OptimPack1-auto.i drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/yorick/packages/ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/yorick/packages/installed/ -rw-r--r-- root/root 916 2012-07-09 14:02 ./usr/share/yorick/packages/installed/optimpack.info drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/yorick/i0/ -rw-r--r-- root/root 18052 2012-07-09 14:02 ./usr/share/yorick/i0/OptimPack1.i drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/doc/ drwxr-xr-x root/root 0 2012-07-09 14:03 ./usr/share/doc/yorick-optimpack/ -rw-r--r-- root/root 603 2010-07-05 14:00 ./usr/share/doc/yorick-optimpack/NEWS.gz -rw-r--r-- root/root 385 2012-06-28 05:07 ./usr/share/doc/yorick-optimpack/changelog.Debian.gz drwxr-xr-x root/root 0 2012-07-09 14:03 ./usr/share/doc/yorick-optimpack/examples/ -rw-r--r-- root/root 6734 2008-02-07 02:45 ./usr/share/doc/yorick-optimpack/examples/OptimPack1-test.i.gz -rw-r--r-- root/root 434 2009-04-23 07:34 ./usr/share/doc/yorick-optimpack/TODO -rw-r--r-- root/root 1244 2012-06-28 04:49 ./usr/share/doc/yorick-optimpack/copyright drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/lintian/ drwxr-xr-x root/root 0 2012-07-09 14:02 ./usr/share/lintian/overrides/ -rw-r--r-- root/root 128 2012-07-09 14:02 ./usr/share/lintian/overrides/yorick-optimpack ┌──────────────────────────────────────────────────────────────────────────────┐ │ Post Build │ └──────────────────────────────────────────────────────────────────────────────┘ ┌──────────────────────────────────────────────────────────────────────────────┐ │ Cleanup │ └──────────────────────────────────────────────────────────────────────────────┘ Purging /«BUILDDIR» Reading package lists... Building dependency tree... Reading state information... 0 upgraded, 0 newly installed, 0 to remove and 3 not upgraded. Reading package lists... Building dependency tree... Reading state information... The following packages will be REMOVED: bsdmainutils* debhelper* file* gettext* gettext-base* groff-base* html2text* intltool-debian* libasprintf0c2* libcroco3* libffi5* libgettextpo0* libglib2.0-0* libmagic1* libpcre3* libpipeline1* libunistring0* libx11-6* libx11-data* libxau6* libxcb1* libxdmcp6* libxml2* man-db* po-debconf* sbuild-build-depends-core-dummy* sbuild-build-depends-yorick-optimpack-dummy* yorick* yorick-data* yorick-dev* 0 upgraded, 0 newly installed, 30 to remove and 3 not upgraded. After this operation, 32.9 MB disk space will be freed. (Reading database ... 12539 files and directories currently installed.) Removing sbuild-build-depends-yorick-optimpack-dummy ... Removing debhelper ... Removing man-db ... Purging configuration files for man-db ... Removing bsdmainutils ... Purging configuration files for bsdmainutils ... Removing file ... Removing po-debconf ... Removing intltool-debian ... Removing gettext ... Removing gettext-base ... Removing groff-base ... Purging configuration files for groff-base ... Removing html2text ... Purging configuration files for html2text ... Removing libasprintf0c2:armhf ... Removing libcroco3:armhf ... Purging configuration files for libcroco3:armhf ... Removing libglib2.0-0:armhf ... Purging configuration files for libglib2.0-0:armhf ... Removing libffi5:armhf ... Purging configuration files for libffi5:armhf ... Removing libgettextpo0:armhf ... Removing libmagic1:armhf ... Purging configuration files for libmagic1:armhf ... Removing libpcre3:armhf ... Purging configuration files for libpcre3:armhf ... Removing libpipeline1:armhf ... Purging configuration files for libpipeline1:armhf ... Removing libunistring0:armhf ... Purging configuration files for libunistring0:armhf ... Removing yorick-dev ... Removing yorick ... Purging configuration files for yorick ... Removing libx11-6:armhf ... Purging configuration files for libx11-6:armhf ... Removing libx11-data ... Removing libxcb1:armhf ... Purging configuration files for libxcb1:armhf ... Removing libxau6:armhf ... Purging configuration files for libxau6:armhf ... Removing libxdmcp6:armhf ... Purging configuration files for libxdmcp6:armhf ... Removing libxml2:armhf ... Purging configuration files for libxml2:armhf ... Removing sbuild-build-depends-core-dummy ... Removing yorick-data ... Purging configuration files for yorick-data ... ┌──────────────────────────────────────────────────────────────────────────────┐ │ Summary │ └──────────────────────────────────────────────────────────────────────────────┘ Architecture: armhf Build-Space: 940 Build-Time: 87 Distribution: testing Install-Time: 76 Job: yorick-optimpack_1.3.2+dfsg-1 Package: yorick-optimpack Package-Time: 181 Source-Version: 1.3.2+dfsg-1 Space: 940 Status: successful Version: 1.3.2+dfsg-1 ──────────────────────────────────────────────────────────────────────────────── Finished at 20120709-1403 Build needed 00:03:01, 940k disc space